/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 2017 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Intel Program License Subscription Agreement, the Intel Quartus Prime License Agreement, the Intel MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (global (rect -1064 560 -776 600) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "dev_family" (rect 3 16 65 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "S\"Cyclone IV E\"" (rect 141 16 215 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (global (rect -1064 600 -776 640) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "diq_width" (rect 3 16 57 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "D\"12\"" (rect 141 16 156 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (global (rect -1064 3024 -776 3064) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "fx3_bus_width" (rect 3 16 85 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "D\"32\"" (rect 141 16 156 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (global (rect -1064 3064 -776 3104) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "fx3_outfifo_wrwidth" (rect 3 16 112 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "D\"64\"" (rect 141 16 156 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (global (rect -1064 3104 -776 3144) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "fx3_outfifo_wrsize" (rect 3 16 105 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "D\"12\"" (rect 141 16 156 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (global (rect -1064 3144 -776 3184) (parameter) (text "PARAM" (rect 3 -1 49 12)(font "Arial" (font_size 8))) (text "DEFAULT VALUE" (rect 141 0 231 11)(font "Arial" )) (text "fx3_outfifo_rdsize" (rect 3 16 103 29)(font "Arial" (font_size 8))) (text " NAME" (rect 108 0 144 11)(font "Arial" )) (text "D\"13\"" (rect 141 16 156 29)(font "Arial" (font_size 8))) (drawing (line (pt 138 40)(pt 138 0)) ) ) (pin (input) (rect -992 1880 -816 1896) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "BRDG_SPI_MOSI" (rect 9 0 99 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 1896 -992 1912)) ) (pin (input) (rect -992 720 -824 736) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "EXT_GND" (rect 5 0 59 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 736 -992 752)) ) (pin (input) (rect -992 776 -824 792) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK0" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 792 -992 808)) ) (pin (input) (rect -992 1048 -824 1064) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "LMS_MCLK2" (rect 5 0 72 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 1064 -992 1080)) ) (pin (input) (rect -992 1080 -824 1096) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "LMS_MCLK1" (rect 5 0 71 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 1096 -992 1112)) ) (pin (input) (rect -1024 1816 -816 1832) (text "INPUT" (rect 165 0 194 10)(font "Arial" (font_size 6))) (text "BRDG_SPI_FPGA_SS" (rect 5 0 119 11)(font "Arial" )) (pt 208 8) (drawing (line (pt 124 12)(pt 149 12)) (line (pt 124 4)(pt 149 4)) (line (pt 153 8)(pt 208 8)) (line (pt 124 12)(pt 124 4)) (line (pt 149 4)(pt 153 8)) (line (pt 149 12)(pt 153 8)) ) (text "VCC" (rect 168 7 189 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1056 1832 -1000 1848)) ) (pin (input) (rect -1000 1848 -816 1864) (text "INPUT" (rect 141 0 170 10)(font "Arial" (font_size 6))) (text "BRDG_SPI_SCLK" (rect 5 0 98 11)(font "Arial" )) (pt 184 8) (drawing (line (pt 100 12)(pt 125 12)) (line (pt 100 4)(pt 125 4)) (line (pt 129 8)(pt 184 8)) (line (pt 100 12)(pt 100 4)) (line (pt 125 4)(pt 129 8)) (line (pt 125 12)(pt 129 8)) ) (text "VCC" (rect 144 7 165 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 1864 -992 1880)) ) (pin (input) (rect -880 3480 -712 3496) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "FX3_CTL4" (rect 5 0 60 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -944 3480 -888 3496)) ) (pin (input) (rect -880 3496 -712 3512) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "FX3_CTL5" (rect 5 0 60 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -944 3496 -888 3512)) ) (pin (input) (rect -984 1336 -816 1352) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "LM75_OS" (rect 5 0 55 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1032 1352 -984 1368)) ) (pin (input) (rect -288 800 -112 816) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "ADF_MUXOUT" (rect 5 0 82 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -336 816 -288 832)) ) (pin (input) (rect -960 5160 -784 5176) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "HW_VER[3..0]" (rect 5 0 76 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1032 5160 -976 5176)) ) (pin (input) (rect -968 5176 -784 5192) (text "INPUT" (rect 141 0 170 10)(font "Arial" (font_size 6))) (text "BOM_VER[2..0]" (rect 5 0 84 11)(font "Arial" )) (pt 184 8) (drawing (line (pt 100 12)(pt 125 12)) (line (pt 100 4)(pt 125 4)) (line (pt 129 8)(pt 184 8)) (line (pt 100 12)(pt 100 4)) (line (pt 125 4)(pt 129 8)) (line (pt 125 12)(pt 129 8)) ) (text "VCC" (rect 144 7 165 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1032 5192 -976 5208)) ) (pin (input) (rect -992 864 -824 880) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK3" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 880 -992 896)) ) (pin (input) (rect -992 896 -824 912) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK5" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 912 -992 928)) ) (pin (input) (rect -992 928 -824 944) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK6" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 944 -992 960)) ) (pin (input) (rect -992 960 -824 976) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK7" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 976 -992 992)) ) (pin (input) (rect -992 992 -824 1008) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "LMK_CLK" (rect 5 0 58 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 1008 -992 1024)) ) (pin (input) (rect -992 840 -824 856) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK2" (rect 5 0 51 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 856 -992 872)) ) (pin (input) (rect -992 816 -824 832) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "SI_CLK1" (rect 5 0 49 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1048 832 -992 848)) ) (pin (input) (rect -2464 3368 -2272 3384) (text "INPUT" (rect 149 0 178 10)(font "Arial" (font_size 6))) (text "FPGA_SPI0_MISO" (rect 5 0 100 11)(font "Arial" )) (pt 192 8) (drawing (line (pt 108 12)(pt 133 12)) (line (pt 108 4)(pt 133 4)) (line (pt 137 8)(pt 192 8)) (line (pt 108 12)(pt 108 4)) (line (pt 133 4)(pt 137 8)) (line (pt 133 12)(pt 137 8)) ) (text "VCC" (rect 152 7 173 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -2520 3384 -2464 3400)) ) (pin (input) (rect -880 3448 -712 3464) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "FX3_PCLK" (rect 5 0 62 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -968 3464 -880 3496)) ) (pin (input) (rect -936 3848 -768 3864) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "FX3_CTL8" (rect 5 0 60 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1016 3864 -936 3896)) ) (pin (input) (rect -976 1504 -808 1520) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "PWR_SRC" (rect 5 0 61 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1064 1520 -976 1552)) ) (pin (input) (rect 1288 2536 1488 2552) (text "INPUT" (rect 157 0 186 10)(font "Arial" (font_size 6))) (text "LMS_DIQ2_D[11..0]" (rect 5 0 104 11)(font "Arial" )) (pt 200 8) (drawing (line (pt 116 12)(pt 141 12)) (line (pt 116 4)(pt 141 4)) (line (pt 145 8)(pt 200 8)) (line (pt 116 12)(pt 116 4)) (line (pt 141 4)(pt 145 8)) (line (pt 141 12)(pt 145 8)) ) (text "VCC" (rect 160 7 181 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1184 2536 1240 2552)) ) (pin (input) (rect 1296 2552 1488 2568) (text "INPUT" (rect 149 0 178 10)(font "Arial" (font_size 6))) (text "LMS_DIQ2_IQSEL2" (rect 5 0 105 11)(font "Arial" )) (pt 192 8) (drawing (line (pt 108 12)(pt 133 12)) (line (pt 108 4)(pt 133 4)) (line (pt 137 8)(pt 192 8)) (line (pt 108 12)(pt 108 4)) (line (pt 133 4)(pt 137 8)) (line (pt 133 12)(pt 137 8)) ) (text "VCC" (rect 152 7 173 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1184 2560 1240 2576)) ) (pin (output) (rect 256 2704 432 2720) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_RESET" (rect 90 0 157 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 432 2704 488 2720)) ) (pin (output) (rect 232 632 408 648) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_LED1_R" (rect 90 0 169 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 648 456 664)) ) (pin (output) (rect 232 616 408 632) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_LED1_G" (rect 90 0 170 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 632 464 648)) ) (pin (output) (rect 232 768 408 784) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_LED2_G" (rect 90 0 170 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 784 456 800)) ) (pin (output) (rect 232 784 408 800) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_LED2_R" (rect 90 0 169 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 800 456 816)) ) (pin (output) (rect 336 1832 520 1848) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "BRDG_SPI_MISO" (rect 90 0 182 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 512 1832 568 1848)) ) (pin (output) (rect 2856 4368 3051 4384) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_DIQ1_D[11..0]" (rect 90 0 189 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 3032 4384 3088 4400)) ) (pin (output) (rect 2856 4384 3042 4400) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_DIQ1_IQSEL" (rect 90 0 184 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 3032 4400 3088 4416)) ) (pin (output) (rect -8 3448 168 3464) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL0" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 168 3448 224 3464)) ) (pin (output) (rect -8 3544 168 3560) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL7" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 168 3552 224 3568)) ) (pin (output) (rect -8 3528 168 3544) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL1" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 168 3528 224 3544)) ) (pin (output) (rect -8 3512 168 3528) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL2" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 168 3512 224 3528)) ) (pin (output) (rect -8 3496 168 3512) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL3" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 168 3496 224 3512)) ) (pin (output) (rect -1424 3280 -1235 3296) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI1_SCLK" (rect 90 0 187 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -1224 3280 -1168 3296)) ) (pin (output) (rect -1424 3264 -1236 3280) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI1_MOSI" (rect 90 0 184 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -1224 3264 -1168 3280)) ) (pin (output) (rect 344 2432 548 2448) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI1_DAC_SS" (rect 90 0 202 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 504 2448 552 2464)) ) (pin (output) (rect 344 2360 547 2376) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI1_ADF_SS" (rect 90 0 200 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 547 2376 595 2392)) ) (pin (output) (rect 1440 4544 1619 4560) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_odt[0..0]" (rect 90 0 178 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1667 4528 1723 4544)) ) (pin (output) (rect 1440 4560 1628 4576) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_cs_n[0..0]" (rect 90 0 186 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1676 4544 1732 4560)) ) (pin (output) (rect 1440 4576 1622 4592) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_cke[0..0]" (rect 90 0 180 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1670 4560 1726 4576)) ) (pin (output) (rect 1440 4592 1631 4608) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_addr[12..0]" (rect 90 0 191 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1679 4576 1735 4592)) ) (pin (output) (rect 1440 4624 1616 4640) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_ras_n" (rect 90 0 167 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1664 4608 1720 4624)) ) (pin (output) (rect 1440 4640 1616 4656) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_cas_n" (rect 90 0 169 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1664 4624 1720 4640)) ) (pin (output) (rect 1440 4656 1616 4672) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_we_n" (rect 90 0 166 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1664 4640 1720 4656)) ) (pin (output) (rect 1440 4672 1619 4688) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_dm[1..0]" (rect 90 0 178 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1667 4656 1723 4672)) ) (pin (output) (rect 1440 4608 1622 4624) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_1_ba[2..0]" (rect 90 0 176 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1664 4592 1720 4608)) ) (pin (output) (rect 352 4616 528 4632) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX2_2_LB_L" (rect 90 0 156 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 528 4632 584 4648)) ) (pin (output) (rect 352 4640 528 4656) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX2_2_LB_H" (rect 90 0 156 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 528 4656 584 4672)) ) (pin (output) (rect 352 4760 528 4776) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX2_2_LB_AT" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 528 4776 584 4792)) ) (pin (output) (rect 352 4784 528 4800) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX2_2_LB_SH" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 528 4800 584 4816)) ) (pin (output) (rect -120 4608 56 4624) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX1_2_LB_L" (rect 90 0 156 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 56 4624 112 4640)) ) (pin (output) (rect -120 4632 56 4648) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX1_2_LB_H" (rect 90 0 156 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 56 4648 112 4664)) ) (pin (output) (rect -120 4752 56 4768) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX1_2_LB_AT" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 56 4768 112 4784)) ) (pin (output) (rect -120 4776 56 4792) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "TX1_2_LB_SH" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 56 4792 112 4808)) ) (pin (output) (rect 232 944 408 960) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_LED_G" (rect 90 0 153 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 960 464 976)) ) (pin (output) (rect 232 960 408 976) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_LED_R" (rect 90 0 153 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 408 976 464 992)) ) (pin (output) (rect 1456 5272 1632 5288) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_odt[0..0]" (rect 90 0 178 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5288 1688 5304)) ) (pin (output) (rect 1456 5288 1632 5304) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_cs_n[0..0]" (rect 90 0 186 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5304 1688 5320)) ) (pin (output) (rect 1456 5304 1632 5320) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_cke[0..0]" (rect 90 0 180 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5320 1696 5336)) ) (pin (output) (rect 1456 5320 1635 5336) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_addr[12..0]" (rect 90 0 191 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1635 5336 1691 5352)) ) (pin (output) (rect 1456 5336 1632 5352) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_ba[2..0]" (rect 90 0 176 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5352 1688 5368)) ) (pin (output) (rect 1456 5352 1632 5368) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_ras_n" (rect 90 0 167 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5368 1688 5384)) ) (pin (output) (rect 1456 5368 1632 5384) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_cas_n" (rect 90 0 169 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5384 1688 5400)) ) (pin (output) (rect 1456 5384 1632 5400) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_we_n" (rect 90 0 166 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5400 1688 5416)) ) (pin (output) (rect 1456 5400 1632 5416) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "DDR2_2_dm[1..0]" (rect 90 0 178 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1632 5416 1696 5432)) ) (pin (output) (rect 344 3352 520 3368) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL12" (rect 90 0 151 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 536 3352 592 3368)) ) (pin (output) (rect 344 3368 520 3384) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FX3_CTL11" (rect 90 0 150 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 560 3368 616 3384)) ) (pin (output) (rect -1424 3232 -1235 3248) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI0_SCLK" (rect 90 0 187 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -1224 3232 -1168 3248)) ) (pin (output) (rect -1424 3216 -1236 3232) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI0_MOSI" (rect 90 0 184 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -1224 3216 -1168 3232)) ) (pin (output) (rect -1424 3248 -1222 3264) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FPGA_SPI0_LMS_SS" (rect 90 0 200 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -1216 3248 -1160 3264)) ) (pin (output) (rect 2664 1008 2840 1024) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_FCLK1" (rect 90 0 153 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 2856 1008 2912 1024)) ) (pin (output) (rect -496 1352 -320 1368) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "FAN_CTRL" (rect 90 0 149 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect -320 1368 -240 1400)) ) (pin (output) (rect 1416 976 1592 992) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_FCLK2" (rect 90 0 154 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 1624 984 1672 1000)) ) (pin (output) (rect -272 2464 -96 2480) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_TXEN" (rect 90 0 149 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 8 2536 64 2552)) ) (pin (output) (rect -272 2480 -96 2496) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_RXEN" (rect 90 0 150 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 8 2552 64 2568)) ) (pin (output) (rect -272 2416 -68 2432) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_CORE_LDO_EN" (rect 90 0 200 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 8 2488 64 2504)) ) (pin (output) (rect -272 2432 -96 2448) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_TXNRX1" (rect 90 0 163 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 8 2504 64 2520)) ) (pin (output) (rect -272 2448 -96 2464) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LMS_TXNRX2" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 8 2520 64 2536)) ) (pin (output) (rect 2040 2376 2236 2392) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "smpl_fifo_wrreq_out" (rect 90 0 190 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) ) (pin (bidir) (rect -8 3464 168 3480) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "FX3_DQ[31..0]" (rect 90 0 164 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 168 3464 224 3480)) ) (pin (bidir) (rect 1440 4704 1618 4720) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_1_clk[0..0]" (rect 90 0 177 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1640 4704 1696 4720)) ) (pin (bidir) (rect 1440 4720 1630 4736) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_1_clk_n[0..0]" (rect 90 0 189 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1652 4720 1708 4736)) ) (pin (bidir) (rect 1440 4736 1622 4752) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_1_dq[15..0]" (rect 90 0 182 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1644 4736 1708 4752)) ) (pin (bidir) (rect 1440 4752 1622 4768) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_1_dqs[1..0]" (rect 90 0 180 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1644 4752 1700 4768)) ) (pin (bidir) (rect 1456 5416 1632 5432) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_2_clk[0..0]" (rect 90 0 177 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1632 5432 1688 5448)) ) (pin (bidir) (rect 1456 5432 1634 5448) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_2_clk_n[0..0]" (rect 90 0 189 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1634 5448 1690 5464)) ) (pin (bidir) (rect 1456 5448 1632 5464) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_2_dq[15..0]" (rect 90 0 182 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1632 5464 1696 5480)) ) (pin (bidir) (rect 1456 5464 1632 5480) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "DDR2_2_dqs[1..0]" (rect 90 0 180 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1632 5480 1688 5496)) ) (pin (bidir) (rect -1424 3312 -1229 3328) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "FPGA_I2C_SCL" (rect 90 0 172 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1216 3312 -1160 3328)) ) (pin (bidir) (rect -1424 3328 -1229 3344) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "FPGA_I2C_SDA" (rect 90 0 174 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -1216 3328 -1160 3344)) ) (pin (bidir) (rect 304 1544 523 1560) (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "FPGA_GPIO[7..0]" (rect 90 0 178 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 520 1560 600 1592)) ) (symbol (rect 8 1296 136 1376) (text "alive" (rect 5 0 29 11)(font "Arial" )) (text "inst4" (rect 8 64 32 75)(font "Arial" )) (port (pt 0 32) (input) (text "rst" (rect 0 0 14 11)(font "Arial" )) (text "rst" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 43 36 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 128 32) (output) (text "beat" (rect 0 0 23 11)(font "Arial" )) (text "beat" (rect 90 27 113 38)(font "Arial" )) (line (pt 128 32)(pt 112 32)) ) (drawing (rectangle (rect 16 16 112 64)) ) ) (symbol (rect -1048 1608 -1016 1624) (text "VCC" (rect 7 0 28 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 5 27 16)(font "Arial" )(invisible)) (port (pt 16 16) (output) (text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible)) (text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible)) (line (pt 16 16)(pt 16 8)) ) (drawing (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect -1008 1656 -976 1688) (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) (text "inst6" (rect 3 21 27 32)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 48 1808 232 1920) (text "miso_mux" (rect 5 0 56 11)(font "Arial" )) (text "inst18" (rect 8 96 38 107)(font "Arial" )) (port (pt 0 32) (input) (text "fpga_miso" (rect 0 0 51 11)(font "Arial" )) (text "fpga_miso" (rect 21 27 72 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "ext_miso" (rect 0 0 46 11)(font "Arial" )) (text "ext_miso" (rect 21 43 67 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "fpga_cs" (rect 0 0 40 11)(font "Arial" )) (text "fpga_cs" (rect 21 59 61 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "ext_cs" (rect 0 0 33 11)(font "Arial" )) (text "ext_cs" (rect 21 75 54 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 184 32) (output) (text "out_miso" (rect 0 0 46 11)(font "Arial" )) (text "out_miso" (rect 126 27 172 38)(font "Arial" )) (line (pt 184 32)(pt 168 32)) ) (drawing (rectangle (rect 16 16 168 96)) ) ) (symbol (rect -2536 3832 -2352 3944) (text "synchronizer" (rect 5 0 69 11)(font "Arial" )) (text "inst54" (rect 8 96 38 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "signal_in" (rect 0 0 44 11)(font "Arial" )) (text "signal_in" (rect 21 59 65 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 184 32) (output) (text "signal_sinch" (rect 0 0 62 11)(font "Arial" )) (text "signal_sinch" (rect 113 27 175 38)(font "Arial" )) (line (pt 184 32)(pt 168 32)) ) (drawing (rectangle (rect 16 16 168 96)) ) ) (symbol (rect -2536 3984 -2352 4096) (text "synchronizer" (rect 5 0 69 11)(font "Arial" )) (text "inst55" (rect 8 96 38 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "signal_in" (rect 0 0 44 11)(font "Arial" )) (text "signal_in" (rect 21 59 65 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 184 32) (output) (text "signal_sinch" (rect 0 0 62 11)(font "Arial" )) (text "signal_sinch" (rect 113 27 175 38)(font "Arial" )) (line (pt 184 32)(pt 168 32)) ) (drawing (rectangle (rect 16 16 168 96)) ) ) (symbol (rect -712 712 -664 744) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst8" (rect 3 21 27 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 880 1864 1048 1992) (text "rx_synchronizers" (rect 5 0 101 13)(font "Arial" (font_size 8))) (text "inst20" (rect 8 112 38 123)(font "Arial" )) (port (pt 0 32) (input) (text "clk1" (rect 0 0 22 13)(font "Arial" (font_size 8))) (text "clk1" (rect 21 27 43 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "clk1_reset_n" (rect 0 0 73 13)(font "Arial" (font_size 8))) (text "clk1_reset_n" (rect 21 43 94 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "clk1_d0" (rect 0 0 44 13)(font "Arial" (font_size 8))) (text "clk1_d0" (rect 21 59 65 72)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "clk1_d1" (rect 0 0 43 13)(font "Arial" (font_size 8))) (text "clk1_d1" (rect 21 75 64 88)(font "Arial" (font_size 8))) (line (pt 0 80)(pt 16 80)) ) (port (pt 168 32) (output) (text "clk1_s0" (rect 0 0 44 13)(font "Arial" (font_size 8))) (text "clk1_s0" (rect 104 27 148 40)(font "Arial" (font_size 8))) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) (text "clk1_s1" (rect 0 0 43 13)(font "Arial" (font_size 8))) (text "clk1_s1" (rect 104 43 147 56)(font "Arial" (font_size 8))) (line (pt 168 48)(pt 152 48)) ) (drawing (rectangle (rect 16 16 152 112)) ) ) (symbol (rect 1632 1616 1696 1664) (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst12" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)) ) (drawing (line (pt 14 36)(pt 25 36)) (line (pt 14 13)(pt 25 13)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (symbol (rect 1776 1624 1824 1656) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst14" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect -896 1944 -864 1976) (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) (text "inst26" (rect 3 21 33 32)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 296 2424 344 2456) (text "OPNDRN" (rect 1 0 43 10)(font "Arial" (font_size 6))) (text "inst16" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 32 16)(pt 48 16)) ) (drawing (line (pt 14 25)(pt 14 7)) (line (pt 14 25)(pt 32 16)) (line (pt 14 7)(pt 32 16)) ) ) (symbol (rect 2472 4344 2720 4456) (text "lms7002_ddout" (rect 5 0 81 11)(font "Arial" )) (text "inst7" (rect 8 96 32 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "data_in_h[iq_width..0]" (rect 0 0 107 11)(font "Arial" )) (text "data_in_h[iq_width..0]" (rect 21 59 128 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 0 80) (input) (text "data_in_l[iq_width..0]" (rect 0 0 103 11)(font "Arial" )) (text "data_in_l[iq_width..0]" (rect 21 75 124 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 248 32) (output) (text "txiq[iq_width-1..0]" (rect 0 0 86 11)(font "Arial" )) (text "txiq[iq_width-1..0]" (rect 155 27 241 38)(font "Arial" )) (line (pt 248 32)(pt 232 32)(line_width 3)) ) (port (pt 248 48) (output) (text "txiqsel" (rect 0 0 33 11)(font "Arial" )) (text "txiqsel" (rect 201 43 234 54)(font "Arial" )) (line (pt 248 48)(pt 232 48)) ) (parameter "dev_family" "dev_family" "" (type "PARAMETER_STRING") ) (parameter "iq_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 232 96)) ) (annotation_block (parameter)(rect 2728 4296 2939 4338)) ) (symbol (rect -2192 3800 -1992 3912) (text "rstn_pulse" (rect 5 0 56 11)(font "Arial" )) (text "inst1" (rect 8 96 31 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "resetn_in" (rect 0 0 47 11)(font "Arial" )) (text "resetn_in" (rect 21 59 68 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 200 32) (output) (text "rstn_pulse_out" (rect 0 0 74 11)(font "Arial" )) (text "rstn_pulse_out" (rect 119 27 193 38)(font "Arial" )) (line (pt 200 32)(pt 184 32)) ) (drawing (rectangle (rect 16 16 184 96)) ) ) (symbol (rect -224 4600 -176 4632) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst19" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 272 4608 320 4640) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst25" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 296 2208 360 2256) (text "OR3" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst40" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 24) (input) (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 18 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 24 22 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 24 22 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 47 15 68 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 47 15 68 26)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)) ) (drawing (line (pt 14 13)(pt 25 13)) (line (pt 14 36)(pt 25 36)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (symbol (rect 888 4456 1256 4888) (text "wfm_player_top" (rect 5 0 84 11)(font "Arial" )) (text "inst27" (rect 8 416 38 427)(font "Arial" )) (port (pt 0 32) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 27 59 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "ddr2_pll_ref_clk" (rect 0 0 79 11)(font "Arial" )) (text "ddr2_pll_ref_clk" (rect 21 43 100 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "wcmd_clk" (rect 0 0 49 11)(font "Arial" )) (text "wcmd_clk" (rect 21 59 70 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "rcmd_clk" (rect 0 0 46 11)(font "Arial" )) (text "rcmd_clk" (rect 21 75 67 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "wfm_load" (rect 0 0 48 11)(font "Arial" )) (text "wfm_load" (rect 21 91 69 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "wfm_play_stop" (rect 0 0 75 11)(font "Arial" )) (text "wfm_play_stop" (rect 21 107 96 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "wfm_data[data_width-1..0]" (rect 0 0 129 11)(font "Arial" )) (text "wfm_data[data_width-1..0]" (rect 21 123 150 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)(line_width 3)) ) (port (pt 0 144) (input) (text "wfm_wr" (rect 0 0 41 11)(font "Arial" )) (text "wfm_wr" (rect 21 139 62 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "sample_width[1..0]" (rect 0 0 92 11)(font "Arial" )) (text "sample_width[1..0]" (rect 21 155 113 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "fr_start" (rect 0 0 35 11)(font "Arial" )) (text "fr_start" (rect 21 171 56 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "ch_en[1..0]" (rect 0 0 55 11)(font "Arial" )) (text "ch_en[1..0]" (rect 21 187 76 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)(line_width 3)) ) (port (pt 0 208) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 203 68 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "iq_clk" (rect 0 0 29 11)(font "Arial" )) (text "iq_clk" (rect 21 219 50 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "begin_test" (rect 0 0 51 11)(font "Arial" )) (text "begin_test" (rect 21 235 72 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "insert_error" (rect 0 0 59 11)(font "Arial" )) (text "insert_error" (rect 21 251 80 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 368 32) (output) (text "wfm_rdy" (rect 0 0 43 11)(font "Arial" )) (text "wfm_rdy" (rect 311 27 354 38)(font "Arial" )) (line (pt 368 32)(pt 352 32)) ) (port (pt 368 48) (output) (text "wfm_infifo_wrusedw[wfm_infifo_size-1..0]" (rect 0 0 202 11)(font "Arial" )) (text "wfm_infifo_wrusedw[wfm_infifo_size-1..0]" (rect 172 43 374 54)(font "Arial" )) (line (pt 368 48)(pt 352 48)(line_width 3)) ) (port (pt 368 64) (output) (text "dd_iq_h[15..0]" (rect 0 0 70 11)(font "Arial" )) (text "dd_iq_h[15..0]" (rect 289 59 359 70)(font "Arial" )) (line (pt 368 64)(pt 352 64)(line_width 3)) ) (port (pt 368 80) (output) (text "dd_iq_l[15..0]" (rect 0 0 66 11)(font "Arial" )) (text "dd_iq_l[15..0]" (rect 292 75 358 86)(font "Arial" )) (line (pt 368 80)(pt 352 80)(line_width 3)) ) (port (pt 368 96) (output) (text "mem_odt[0..0]" (rect 0 0 70 11)(font "Arial" )) (text "mem_odt[0..0]" (rect 287 91 357 102)(font "Arial" )) (line (pt 368 96)(pt 352 96)(line_width 3)) ) (port (pt 368 112) (output) (text "mem_cs_n[0..0]" (rect 0 0 79 11)(font "Arial" )) (text "mem_cs_n[0..0]" (rect 280 107 359 118)(font "Arial" )) (line (pt 368 112)(pt 352 112)(line_width 3)) ) (port (pt 368 128) (output) (text "mem_cke[0..0]" (rect 0 0 73 11)(font "Arial" )) (text "mem_cke[0..0]" (rect 285 123 358 134)(font "Arial" )) (line (pt 368 128)(pt 352 128)(line_width 3)) ) (port (pt 368 144) (output) (text "mem_addr[12..0]" (rect 0 0 83 11)(font "Arial" )) (text "mem_addr[12..0]" (rect 277 139 360 150)(font "Arial" )) (line (pt 368 144)(pt 352 144)(line_width 3)) ) (port (pt 368 160) (output) (text "mem_ba[2..0]" (rect 0 0 67 11)(font "Arial" )) (text "mem_ba[2..0]" (rect 290 155 357 166)(font "Arial" )) (line (pt 368 160)(pt 352 160)(line_width 3)) ) (port (pt 368 176) (output) (text "mem_ras_n" (rect 0 0 60 11)(font "Arial" )) (text "mem_ras_n" (rect 299 171 359 182)(font "Arial" )) (line (pt 368 176)(pt 352 176)) ) (port (pt 368 192) (output) (text "mem_cas_n" (rect 0 0 61 11)(font "Arial" )) (text "mem_cas_n" (rect 297 187 358 198)(font "Arial" )) (line (pt 368 192)(pt 352 192)) ) (port (pt 368 208) (output) (text "mem_we_n" (rect 0 0 59 11)(font "Arial" )) (text "mem_we_n" (rect 301 203 360 214)(font "Arial" )) (line (pt 368 208)(pt 352 208)) ) (port (pt 368 224) (output) (text "mem_dm[1..0]" (rect 0 0 70 11)(font "Arial" )) (text "mem_dm[1..0]" (rect 287 219 357 230)(font "Arial" )) (line (pt 368 224)(pt 352 224)(line_width 3)) ) (port (pt 368 240) (output) (text "phy_clk" (rect 0 0 38 11)(font "Arial" )) (text "phy_clk" (rect 315 235 353 246)(font "Arial" )) (line (pt 368 240)(pt 352 240)) ) (port (pt 368 320) (output) (text "pnf_per_bit[31..0]" (rect 0 0 86 11)(font "Arial" )) (text "pnf_per_bit[31..0]" (rect 274 315 360 326)(font "Arial" )) (line (pt 368 320)(pt 352 320)(line_width 3)) ) (port (pt 368 336) (output) (text "pnf_per_bit_persist[31..0]" (rect 0 0 125 11)(font "Arial" )) (text "pnf_per_bit_persist[31..0]" (rect 241 331 366 342)(font "Arial" )) (line (pt 368 336)(pt 352 336)(line_width 3)) ) (port (pt 368 352) (output) (text "pass" (rect 0 0 24 11)(font "Arial" )) (text "pass" (rect 328 347 352 358)(font "Arial" )) (line (pt 368 352)(pt 352 352)) ) (port (pt 368 368) (output) (text "fail" (rect 0 0 15 11)(font "Arial" )) (text "fail" (rect 335 363 350 374)(font "Arial" )) (line (pt 368 368)(pt 352 368)) ) (port (pt 368 384) (output) (text "test_complete" (rect 0 0 69 11)(font "Arial" )) (text "test_complete" (rect 289 379 358 390)(font "Arial" )) (line (pt 368 384)(pt 352 384)) ) (port (pt 368 256) (bidir) (text "mem_clk[0..0]" (rect 0 0 68 11)(font "Arial" )) (text "mem_clk[0..0]" (rect 290 251 358 262)(font "Arial" )) (line (pt 368 256)(pt 352 256)(line_width 3)) ) (port (pt 368 272) (bidir) (text "mem_clk_n[0..0]" (rect 0 0 81 11)(font "Arial" )) (text "mem_clk_n[0..0]" (rect 279 267 360 278)(font "Arial" )) (line (pt 368 272)(pt 352 272)(line_width 3)) ) (port (pt 368 288) (bidir) (text "mem_dq[15..0]" (rect 0 0 74 11)(font "Arial" )) (text "mem_dq[15..0]" (rect 287 283 361 294)(font "Arial" )) (line (pt 368 288)(pt 352 288)(line_width 3)) ) (port (pt 368 304) (bidir) (text "mem_dqs[1..0]" (rect 0 0 73 11)(font "Arial" )) (text "mem_dqs[1..0]" (rect 287 299 360 310)(font "Arial" )) (line (pt 368 304)(pt 352 304)(line_width 3)) ) (parameter "dev_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "cntrl_rate" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "cntrl_bus_size" "16" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "addr_size" "25" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "lcl_bus_size" "63" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "lcl_burst_length" "2" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "cmd_fifo_size" "9" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "wfm_infifo_size" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "wfm_outfifo_size" "11" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "data_width" "32" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "iq_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "dcmpr_fifo_size" "10" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 352 416)) ) (annotation_block (parameter)(rect 888 4272 1144 4454)) ) (symbol (rect 16 592 184 704) (text "FPGA_LED1_cntrl" (rect 5 0 97 11)(font "Arial" )) (text "inst3" (rect 8 96 32 107)(font "Arial" )) (port (pt 0 32) (input) (text "pll1_locked" (rect 0 0 56 11)(font "Arial" )) (text "pll1_locked" (rect 21 27 77 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "pll2_locked" (rect 0 0 56 11)(font "Arial" )) (text "pll2_locked" (rect 21 43 77 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "alive" (rect 0 0 24 11)(font "Arial" )) (text "alive" (rect 21 59 45 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "led_ctrl[2..0]" (rect 0 0 60 11)(font "Arial" )) (text "led_ctrl[2..0]" (rect 21 75 81 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 168 32) (output) (text "led_g" (rect 0 0 28 11)(font "Arial" )) (text "led_g" (rect 126 27 154 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) (text "led_r" (rect 0 0 27 11)(font "Arial" )) (text "led_r" (rect 128 43 155 54)(font "Arial" )) (line (pt 168 48)(pt 152 48)) ) (drawing (rectangle (rect 16 16 152 96)) ) ) (symbol (rect 16 744 184 888) (text "FPGA_LED2_ctrl" (rect 5 0 91 11)(font "Arial" )) (text "inst15" (rect 8 128 38 139)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "adf_muxout" (rect 0 0 59 11)(font "Arial" )) (text "adf_muxout" (rect 21 59 80 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "dac_ss" (rect 0 0 36 11)(font "Arial" )) (text "dac_ss" (rect 21 75 57 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "adf_ss" (rect 0 0 34 11)(font "Arial" )) (text "adf_ss" (rect 21 91 55 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "led_ctrl[2..0]" (rect 0 0 60 11)(font "Arial" )) (text "led_ctrl[2..0]" (rect 21 107 81 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 168 32) (output) (text "led_g" (rect 0 0 28 11)(font "Arial" )) (text "led_g" (rect 126 27 154 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) (text "led_r" (rect 0 0 27 11)(font "Arial" )) (text "led_r" (rect 128 43 155 54)(font "Arial" )) (line (pt 168 48)(pt 152 48)) ) (drawing (rectangle (rect 16 16 152 128)) ) ) (symbol (rect 8 920 200 1032) (text "FX3_LED_ctrl" (rect 5 0 74 11)(font "Arial" )) (text "inst22" (rect 8 96 38 107)(font "Arial" )) (port (pt 0 32) (input) (text "ctrl_led_g" (rect 0 0 49 11)(font "Arial" )) (text "ctrl_led_g" (rect 21 27 70 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "ctrl_led_r" (rect 0 0 48 11)(font "Arial" )) (text "ctrl_led_r" (rect 21 43 69 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "HW_VER[3..0]" (rect 0 0 71 11)(font "Arial" )) (text "HW_VER[3..0]" (rect 21 59 92 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 0 80) (input) (text "led_ctrl[2..0]" (rect 0 0 60 11)(font "Arial" )) (text "led_ctrl[2..0]" (rect 21 75 81 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 192 32) (output) (text "led_g" (rect 0 0 28 11)(font "Arial" )) (text "led_g" (rect 150 27 178 38)(font "Arial" )) (line (pt 192 32)(pt 176 32)) ) (port (pt 192 48) (output) (text "led_r" (rect 0 0 27 11)(font "Arial" )) (text "led_r" (rect 152 43 179 54)(font "Arial" )) (line (pt 192 48)(pt 176 48)) ) (drawing (rectangle (rect 16 16 176 96)) ) ) (symbol (rect -712 768 -664 800) (text "WIRE" (rect 1 0 26 10)(font "Arial" (font_size 6))) (text "inst31" (rect 3 21 32 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 32 16)(pt 48 16)) ) (drawing (line (pt 14 7)(pt 32 16)) (line (pt 14 25)(pt 14 7)) (line (pt 14 25)(pt 32 16)) ) ) (symbol (rect -616 5408 -336 5680) (text "clock_test" (rect 5 0 55 11)(font "Arial" )) (text "inst30" (rect 8 256 38 267)(font "Arial" )) (port (pt 0 32) (input) (text "FX3_clk" (rect 0 0 41 11)(font "Arial" )) (text "FX3_clk" (rect 21 27 62 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "test_en[3..0]" (rect 0 0 61 11)(font "Arial" )) (text "test_en[3..0]" (rect 21 59 82 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 0 80) (input) (text "test_frc_err[3..0]" (rect 0 0 80 11)(font "Arial" )) (text "test_frc_err[3..0]" (rect 21 75 101 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 0 96) (input) (text "Si5351C_clk_0" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_0" (rect 21 91 96 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "Si5351C_clk_1" (rect 0 0 74 11)(font "Arial" )) (text "Si5351C_clk_1" (rect 21 107 95 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "Si5351C_clk_2" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_2" (rect 21 123 96 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "Si5351C_clk_3" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_3" (rect 21 139 96 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "Si5351C_clk_5" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_5" (rect 21 155 96 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "Si5351C_clk_6" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_6" (rect 21 171 96 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "Si5351C_clk_7" (rect 0 0 75 11)(font "Arial" )) (text "Si5351C_clk_7" (rect 21 187 96 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "LMK_CLK" (rect 0 0 53 11)(font "Arial" )) (text "LMK_CLK" (rect 21 203 74 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "ADF_MUXOUT" (rect 0 0 77 11)(font "Arial" )) (text "ADF_MUXOUT" (rect 21 219 98 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)) ) (port (pt 280 32) (output) (text "test_cmplt[3..0]" (rect 0 0 75 11)(font "Arial" )) (text "test_cmplt[3..0]" (rect 194 27 269 38)(font "Arial" )) (line (pt 280 32)(pt 264 32)(line_width 3)) ) (port (pt 280 48) (output) (text "test_rez[3..0]" (rect 0 0 63 11)(font "Arial" )) (text "test_rez[3..0]" (rect 205 43 268 54)(font "Arial" )) (line (pt 280 48)(pt 264 48)(line_width 3)) ) (port (pt 280 64) (output) (text "FX3_clk_cnt[15..0]" (rect 0 0 92 11)(font "Arial" )) (text "FX3_clk_cnt[15..0]" (rect 182 59 274 70)(font "Arial" )) (line (pt 280 64)(pt 264 64)(line_width 3)) ) (port (pt 280 80) (output) (text "Si5351C_clk_0_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_0_cnt[15..0]" (rect 153 75 279 86)(font "Arial" )) (line (pt 280 80)(pt 264 80)(line_width 3)) ) (port (pt 280 96) (output) (text "Si5351C_clk_1_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_1_cnt[15..0]" (rect 153 91 279 102)(font "Arial" )) (line (pt 280 96)(pt 264 96)(line_width 3)) ) (port (pt 280 112) (output) (text "Si5351C_clk_2_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_2_cnt[15..0]" (rect 153 107 279 118)(font "Arial" )) (line (pt 280 112)(pt 264 112)(line_width 3)) ) (port (pt 280 128) (output) (text "Si5351C_clk_3_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_3_cnt[15..0]" (rect 153 123 279 134)(font "Arial" )) (line (pt 280 128)(pt 264 128)(line_width 3)) ) (port (pt 280 144) (output) (text "Si5351C_clk_5_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_5_cnt[15..0]" (rect 153 139 279 150)(font "Arial" )) (line (pt 280 144)(pt 264 144)(line_width 3)) ) (port (pt 280 160) (output) (text "Si5351C_clk_6_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_6_cnt[15..0]" (rect 153 155 279 166)(font "Arial" )) (line (pt 280 160)(pt 264 160)(line_width 3)) ) (port (pt 280 176) (output) (text "Si5351C_clk_7_cnt[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "Si5351C_clk_7_cnt[15..0]" (rect 153 171 279 182)(font "Arial" )) (line (pt 280 176)(pt 264 176)(line_width 3)) ) (port (pt 280 192) (output) (text "LMK_CLK_cnt[23..0]" (rect 0 0 101 11)(font "Arial" )) (text "LMK_CLK_cnt[23..0]" (rect 174 187 275 198)(font "Arial" )) (line (pt 280 192)(pt 264 192)(line_width 3)) ) (port (pt 280 208) (output) (text "ADF_MUXOUT_cnt[15..0]" (rect 0 0 127 11)(font "Arial" )) (text "ADF_MUXOUT_cnt[15..0]" (rect 153 203 280 214)(font "Arial" )) (line (pt 280 208)(pt 264 208)(line_width 3)) ) (drawing (rectangle (rect 16 16 264 256)) ) ) (symbol (rect -752 3696 -704 3728) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst44" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 792 1592 840 1624) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst45" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect -24 3968 384 4144) (text "stream_switch" (rect 5 0 76 11)(font "Arial" )) (text "inst" (rect 8 160 26 171)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "data_in[data_width-1..0]" (rect 0 0 118 11)(font "Arial" )) (text "data_in[data_width-1..0]" (rect 21 59 139 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 0 80) (input) (text "data_in_valid" (rect 0 0 66 11)(font "Arial" )) (text "data_in_valid" (rect 21 75 87 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "dest_sel" (rect 0 0 42 11)(font "Arial" )) (text "dest_sel" (rect 21 91 63 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "tx_fifo_rdy" (rect 0 0 51 11)(font "Arial" )) (text "tx_fifo_rdy" (rect 21 107 72 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "wfm_rdy" (rect 0 0 43 11)(font "Arial" )) (text "wfm_rdy" (rect 21 123 64 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "wfm_fifo_wrusedw[wfm_fifo_wrusedw_size-1..0]" (rect 0 0 234 11)(font "Arial" )) (text "wfm_fifo_wrusedw[wfm_fifo_wrusedw_size-1..0]" (rect 21 139 255 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)(line_width 3)) ) (port (pt 408 32) (output) (text "data_in_rdy" (rect 0 0 59 11)(font "Arial" )) (text "data_in_rdy" (rect 311 27 370 38)(font "Arial" )) (line (pt 408 32)(pt 392 32)) ) (port (pt 408 48) (output) (text "tx_fifo_wr" (rect 0 0 49 11)(font "Arial" )) (text "tx_fifo_wr" (rect 318 43 367 54)(font "Arial" )) (line (pt 408 48)(pt 392 48)) ) (port (pt 408 64) (output) (text "tx_fifo_data[data_width-1..0]" (rect 0 0 139 11)(font "Arial" )) (text "tx_fifo_data[data_width-1..0]" (rect 185 59 324 70)(font "Arial" )) (line (pt 408 64)(pt 392 64)(line_width 3)) ) (port (pt 408 80) (output) (text "wfm_fifo_wr" (rect 0 0 61 11)(font "Arial" )) (text "wfm_fifo_wr" (rect 311 75 372 86)(font "Arial" )) (line (pt 408 80)(pt 392 80)) ) (port (pt 408 96) (output) (text "wfm_data[data_width-1..0]" (rect 0 0 129 11)(font "Arial" )) (text "wfm_data[data_width-1..0]" (rect 213 91 342 102)(font "Arial" )) (line (pt 408 96)(pt 392 96)(line_width 3)) ) (parameter "data_width" "fx3_bus_width" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "wfm_fifo_wrusedw_size" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "wfm_limit" "4096" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 392 160)) ) (annotation_block (parameter)(rect -24 3848 468 3932)) ) (symbol (rect -1928 3096 -1672 3368) (text "nios_cpu" (rect 5 0 51 11)(font "Arial" )) (text "inst42" (rect 8 256 38 267)(font "Arial" )) (port (pt 0 32) (input) (text "clk100" (rect 0 0 33 11)(font "Arial" )) (text "clk100" (rect 21 27 54 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "exfifo_if_d[31..0]" (rect 0 0 81 11)(font "Arial" )) (text "exfifo_if_d[31..0]" (rect 21 43 102 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port (pt 0 64) (input) (text "exfifo_if_rdempty" (rect 0 0 84 11)(font "Arial" )) (text "exfifo_if_rdempty" (rect 21 59 105 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "exfifo_of_wrfull" (rect 0 0 75 11)(font "Arial" )) (text "exfifo_of_wrfull" (rect 21 75 96 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "spi_lms_MISO" (rect 0 0 73 11)(font "Arial" )) (text "spi_lms_MISO" (rect 21 91 94 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "switch[7..0]" (rect 0 0 55 11)(font "Arial" )) (text "switch[7..0]" (rect 21 107 76 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 256 32) (output) (text "exfifo_if_rd" (rect 0 0 55 11)(font "Arial" )) (text "exfifo_if_rd" (rect 152 27 207 38)(font "Arial" )) (line (pt 256 32)(pt 240 32)) ) (port (pt 256 48) (output) (text "exfifo_of_d[31..0]" (rect 0 0 84 11)(font "Arial" )) (text "exfifo_of_d[31..0]" (rect 110 43 194 54)(font "Arial" )) (line (pt 256 48)(pt 240 48)(line_width 3)) ) (port (pt 256 64) (output) (text "exfifo_of_wr" (rect 0 0 62 11)(font "Arial" )) (text "exfifo_of_wr" (rect 152 59 214 70)(font "Arial" )) (line (pt 256 64)(pt 240 64)) ) (port (pt 256 80) (output) (text "exfifo_rst" (rect 0 0 46 11)(font "Arial" )) (text "exfifo_rst" (rect 166 75 212 86)(font "Arial" )) (line (pt 256 80)(pt 240 80)) ) (port (pt 256 96) (output) (text "leds[7..0]" (rect 0 0 44 11)(font "Arial" )) (text "leds[7..0]" (rect 166 91 210 102)(font "Arial" )) (line (pt 256 96)(pt 240 96)(line_width 3)) ) (port (pt 256 112) (output) (text "lms_ctr_gpio[3..0]" (rect 0 0 87 11)(font "Arial" )) (text "lms_ctr_gpio[3..0]" (rect 110 107 197 118)(font "Arial" )) (line (pt 256 112)(pt 240 112)(line_width 3)) ) (port (pt 256 128) (output) (text "spi_lms_MOSI" (rect 0 0 71 11)(font "Arial" )) (text "spi_lms_MOSI" (rect 152 123 223 134)(font "Arial" )) (line (pt 256 128)(pt 240 128)) ) (port (pt 256 144) (output) (text "spi_lms_SCLK" (rect 0 0 75 11)(font "Arial" )) (text "spi_lms_SCLK" (rect 152 139 227 150)(font "Arial" )) (line (pt 256 144)(pt 240 144)) ) (port (pt 256 160) (output) (text "spi_lms_SS_n[4..0]" (rect 0 0 95 11)(font "Arial" )) (text "spi_lms_SS_n[4..0]" (rect 110 155 205 166)(font "Arial" )) (line (pt 256 160)(pt 240 160)(line_width 3)) ) (port (pt 256 176) (output) (text "spi_1_MOSI" (rect 0 0 61 11)(font "Arial" )) (text "spi_1_MOSI" (rect 166 171 227 182)(font "Arial" )) (line (pt 256 176)(pt 240 176)) ) (port (pt 256 192) (output) (text "spi_1_SCLK" (rect 0 0 63 11)(font "Arial" )) (text "spi_1_SCLK" (rect 166 187 229 198)(font "Arial" )) (line (pt 256 192)(pt 240 192)) ) (port (pt 256 208) (output) (text "spi_1_SS_n[1..0]" (rect 0 0 83 11)(font "Arial" )) (text "spi_1_SS_n[1..0]" (rect 124 203 207 214)(font "Arial" )) (line (pt 256 208)(pt 240 208)(line_width 3)) ) (port (pt 256 224) (bidir) (text "i2c_scl" (rect 0 0 35 11)(font "Arial" )) (text "i2c_scl" (rect 208 219 243 230)(font "Arial" )) (line (pt 256 224)(pt 240 224)) ) (port (pt 256 240) (bidir) (text "i2c_sda" (rect 0 0 40 11)(font "Arial" )) (text "i2c_sda" (rect 205 235 245 246)(font "Arial" )) (line (pt 256 240)(pt 240 240)) ) (drawing (rectangle (rect 16 16 240 256)) ) ) (symbol (rect -168 936 -120 968) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst21" (rect 3 21 32 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect -552 3408 -160 3776) (text "FX3_slaveFIFO5b_top" (rect 5 0 117 11)(font "Arial" )) (text "inst41" (rect 8 352 37 363)(font "Arial" )) (port (pt 0 32) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 27 59 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 43 36 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "usb_speed" (rect 0 0 55 11)(font "Arial" )) (text "usb_speed" (rect 21 59 76 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "flaga" (rect 0 0 25 11)(font "Arial" )) (text "flaga" (rect 21 75 46 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "flagb" (rect 0 0 25 11)(font "Arial" )) (text "flagb" (rect 21 91 46 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "flagc" (rect 0 0 24 11)(font "Arial" )) (text "flagc" (rect 21 107 45 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "flagd" (rect 0 0 25 11)(font "Arial" )) (text "flagd" (rect 21 123 46 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "EP01_rdclk" (rect 0 0 57 11)(font "Arial" )) (text "EP01_rdclk" (rect 21 139 78 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "EP01_rd" (rect 0 0 44 11)(font "Arial" )) (text "EP01_rd" (rect 21 155 65 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "ext_buff_rdy" (rect 0 0 62 11)(font "Arial" )) (text "ext_buff_rdy" (rect 21 171 83 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "EP81_wclk" (rect 0 0 56 11)(font "Arial" )) (text "EP81_wclk" (rect 21 187 77 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "EP81_aclrn" (rect 0 0 59 11)(font "Arial" )) (text "EP81_aclrn" (rect 21 203 80 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "EP81_wr" (rect 0 0 47 11)(font "Arial" )) (text "EP81_wr" (rect 21 219 68 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "EP81_wdata[ep81_wwidth-1..0]" (rect 0 0 155 11)(font "Arial" )) (text "EP81_wdata[ep81_wwidth-1..0]" (rect 21 235 176 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)(line_width 3)) ) (port (pt 0 256) (input) (text "EP0F_rdclk" (rect 0 0 59 11)(font "Arial" )) (text "EP0F_rdclk" (rect 21 251 80 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "EP0F_rd" (rect 0 0 44 11)(font "Arial" )) (text "EP0F_rd" (rect 21 267 65 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "EP8F_wclk" (rect 0 0 56 11)(font "Arial" )) (text "EP8F_wclk" (rect 21 283 77 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "EP8F_aclrn" (rect 0 0 59 11)(font "Arial" )) (text "EP8F_aclrn" (rect 21 299 80 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "EP8F_wr" (rect 0 0 48 11)(font "Arial" )) (text "EP8F_wr" (rect 21 315 69 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "EP8F_wdata[ep8f_wwidth-1..0]" (rect 0 0 152 11)(font "Arial" )) (text "EP8F_wdata[ep8f_wwidth-1..0]" (rect 21 331 173 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)(line_width 3)) ) (port (pt 392 32) (output) (text "clk_out" (rect 0 0 36 11)(font "Arial" )) (text "clk_out" (rect 323 27 359 38)(font "Arial" )) (line (pt 392 32)(pt 376 32)) ) (port (pt 392 48) (output) (text "slcs" (rect 0 0 21 11)(font "Arial" )) (text "slcs" (rect 344 43 365 54)(font "Arial" )) (line (pt 392 48)(pt 376 48)) ) (port (pt 392 80) (output) (text "faddr[4..0]" (rect 0 0 49 11)(font "Arial" )) (text "faddr[4..0]" (rect 295 75 344 86)(font "Arial" )) (line (pt 392 80)(pt 376 80)(line_width 3)) ) (port (pt 392 96) (output) (text "slrd" (rect 0 0 18 11)(font "Arial" )) (text "slrd" (rect 344 91 362 102)(font "Arial" )) (line (pt 392 96)(pt 376 96)) ) (port (pt 392 112) (output) (text "sloe" (rect 0 0 22 11)(font "Arial" )) (text "sloe" (rect 344 107 366 118)(font "Arial" )) (line (pt 392 112)(pt 376 112)) ) (port (pt 392 128) (output) (text "slwr" (rect 0 0 22 11)(font "Arial" )) (text "slwr" (rect 344 123 366 134)(font "Arial" )) (line (pt 392 128)(pt 376 128)) ) (port (pt 392 144) (output) (text "pktend" (rect 0 0 34 11)(font "Arial" )) (text "pktend" (rect 330 139 364 150)(font "Arial" )) (line (pt 392 144)(pt 376 144)) ) (port (pt 392 160) (output) (text "EPSWITCH" (rect 0 0 59 11)(font "Arial" )) (text "EPSWITCH" (rect 316 155 375 166)(font "Arial" )) (line (pt 392 160)(pt 376 160)) ) (port (pt 392 176) (output) (text "EP01_rdata[ep01_rwidth-1..0]" (rect 0 0 146 11)(font "Arial" )) (text "EP01_rdata[ep01_rwidth-1..0]" (rect 176 171 322 182)(font "Arial" )) (line (pt 392 176)(pt 376 176)(line_width 3)) ) (port (pt 392 192) (output) (text "EP01_rempty" (rect 0 0 68 11)(font "Arial" )) (text "EP01_rempty" (rect 295 187 363 198)(font "Arial" )) (line (pt 392 192)(pt 376 192)) ) (port (pt 392 208) (output) (text "ext_buff_data[data_width-1..0]" (rect 0 0 148 11)(font "Arial" )) (text "ext_buff_data[data_width-1..0]" (rect 162 203 310 214)(font "Arial" )) (line (pt 392 208)(pt 376 208)(line_width 3)) ) (port (pt 392 224) (output) (text "ext_buff_wr" (rect 0 0 60 11)(font "Arial" )) (text "ext_buff_wr" (rect 295 219 355 230)(font "Arial" )) (line (pt 392 224)(pt 376 224)) ) (port (pt 392 240) (output) (text "EP81_wfull" (rect 0 0 56 11)(font "Arial" )) (text "EP81_wfull" (rect 302 235 358 246)(font "Arial" )) (line (pt 392 240)(pt 376 240)) ) (port (pt 392 256) (output) (text "EP81_wrusedw[ep81_wrusedw_width-1..0]" (rect 0 0 211 11)(font "Arial" )) (text "EP81_wrusedw[ep81_wrusedw_width-1..0]" (rect 113 251 324 262)(font "Arial" )) (line (pt 392 256)(pt 376 256)(line_width 3)) ) (port (pt 392 272) (output) (text "EP0F_rdata[ep0f_rwidth-1..0]" (rect 0 0 143 11)(font "Arial" )) (text "EP0F_rdata[ep0f_rwidth-1..0]" (rect 176 267 319 278)(font "Arial" )) (line (pt 392 272)(pt 376 272)(line_width 3)) ) (port (pt 392 288) (output) (text "EP0F_rempty" (rect 0 0 68 11)(font "Arial" )) (text "EP0F_rempty" (rect 295 283 363 294)(font "Arial" )) (line (pt 392 288)(pt 376 288)) ) (port (pt 392 304) (output) (text "EP8F_wfull" (rect 0 0 57 11)(font "Arial" )) (text "EP8F_wfull" (rect 302 299 359 310)(font "Arial" )) (line (pt 392 304)(pt 376 304)) ) (port (pt 392 320) (output) (text "GPIF_busy" (rect 0 0 56 11)(font "Arial" )) (text "GPIF_busy" (rect 309 315 365 326)(font "Arial" )) (line (pt 392 320)(pt 376 320)) ) (port (pt 392 64) (bidir) (text "fdata[data_width-1..0]" (rect 0 0 106 11)(font "Arial" )) (text "fdata[data_width-1..0]" (rect 289 59 395 70)(font "Arial" )) (line (pt 392 64)(pt 376 64)(line_width 3)) ) (parameter "dev_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "data_width" "32" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "EP01_rwidth" "64" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "EP81_wrusedw_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "EP81_wwidth" "64" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "EP0F_rwidth" "32" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "EP8F_wwidth" "32" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 376 352)) ) (annotation_block (parameter)(rect -552 3216 -100 3384)) ) (symbol (rect 8 1144 176 1256) (text "busy_delay" (rect 5 0 62 11)(font "Arial" )) (text "inst11" (rect 8 96 37 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "busy_in" (rect 0 0 40 11)(font "Arial" )) (text "busy_in" (rect 21 59 61 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 168 32) (output) (text "busy_out" (rect 0 0 46 11)(font "Arial" )) (text "busy_out" (rect 92 27 138 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (parameter "clock_period" "10" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "delay_time" "200" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 152 96)) ) (annotation_block (parameter)(rect 8 1064 330 1127)) ) (symbol (rect -208 1184 -144 1232) (text "OR3" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst47" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 24) (input) (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 18 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 24 22 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 24 22 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 47 15 68 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 47 15 68 26)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)) ) (drawing (line (pt 14 13)(pt 25 13)) (line (pt 14 36)(pt 25 36)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (symbol (rect 1008 5248 1272 5584) (text "ddr2_tester" (rect 5 0 64 11)(font "Arial" )) (text "inst46" (rect 8 320 38 331)(font "Arial" )) (port (pt 0 32) (input) (text "global_reset_n" (rect 0 0 74 11)(font "Arial" )) (text "global_reset_n" (rect 21 27 95 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "pll_ref_clk" (rect 0 0 50 11)(font "Arial" )) (text "pll_ref_clk" (rect 21 43 71 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "soft_reset_n" (rect 0 0 62 11)(font "Arial" )) (text "soft_reset_n" (rect 21 59 83 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "begin_test" (rect 0 0 51 11)(font "Arial" )) (text "begin_test" (rect 21 75 72 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "insert_error" (rect 0 0 59 11)(font "Arial" )) (text "insert_error" (rect 21 91 80 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 264 32) (output) (text "mem_odt[0..0]" (rect 0 0 70 11)(font "Arial" )) (text "mem_odt[0..0]" (rect 183 27 253 38)(font "Arial" )) (line (pt 264 32)(pt 248 32)(line_width 3)) ) (port (pt 264 48) (output) (text "mem_cs_n[0..0]" (rect 0 0 79 11)(font "Arial" )) (text "mem_cs_n[0..0]" (rect 176 43 255 54)(font "Arial" )) (line (pt 264 48)(pt 248 48)(line_width 3)) ) (port (pt 264 64) (output) (text "mem_cke[0..0]" (rect 0 0 73 11)(font "Arial" )) (text "mem_cke[0..0]" (rect 181 59 254 70)(font "Arial" )) (line (pt 264 64)(pt 248 64)(line_width 3)) ) (port (pt 264 80) (output) (text "mem_addr[12..0]" (rect 0 0 83 11)(font "Arial" )) (text "mem_addr[12..0]" (rect 173 75 256 86)(font "Arial" )) (line (pt 264 80)(pt 248 80)(line_width 3)) ) (port (pt 264 96) (output) (text "mem_ba[2..0]" (rect 0 0 67 11)(font "Arial" )) (text "mem_ba[2..0]" (rect 186 91 253 102)(font "Arial" )) (line (pt 264 96)(pt 248 96)(line_width 3)) ) (port (pt 264 112) (output) (text "mem_ras_n" (rect 0 0 60 11)(font "Arial" )) (text "mem_ras_n" (rect 195 107 255 118)(font "Arial" )) (line (pt 264 112)(pt 248 112)) ) (port (pt 264 128) (output) (text "mem_cas_n" (rect 0 0 61 11)(font "Arial" )) (text "mem_cas_n" (rect 193 123 254 134)(font "Arial" )) (line (pt 264 128)(pt 248 128)) ) (port (pt 264 144) (output) (text "mem_we_n" (rect 0 0 59 11)(font "Arial" )) (text "mem_we_n" (rect 197 139 256 150)(font "Arial" )) (line (pt 264 144)(pt 248 144)) ) (port (pt 264 160) (output) (text "mem_dm[1..0]" (rect 0 0 70 11)(font "Arial" )) (text "mem_dm[1..0]" (rect 183 155 253 166)(font "Arial" )) (line (pt 264 160)(pt 248 160)(line_width 3)) ) (port (pt 264 240) (output) (text "pnf_per_bit[31..0]" (rect 0 0 86 11)(font "Arial" )) (text "pnf_per_bit[31..0]" (rect 170 235 256 246)(font "Arial" )) (line (pt 264 240)(pt 248 240)(line_width 3)) ) (port (pt 264 256) (output) (text "pnf_per_bit_persist[31..0]" (rect 0 0 125 11)(font "Arial" )) (text "pnf_per_bit_persist[31..0]" (rect 137 251 262 262)(font "Arial" )) (line (pt 264 256)(pt 248 256)(line_width 3)) ) (port (pt 264 272) (output) (text "pass" (rect 0 0 24 11)(font "Arial" )) (text "pass" (rect 224 267 248 278)(font "Arial" )) (line (pt 264 272)(pt 248 272)) ) (port (pt 264 288) (output) (text "fail" (rect 0 0 15 11)(font "Arial" )) (text "fail" (rect 231 283 246 294)(font "Arial" )) (line (pt 264 288)(pt 248 288)) ) (port (pt 264 304) (output) (text "test_complete" (rect 0 0 69 11)(font "Arial" )) (text "test_complete" (rect 185 299 254 310)(font "Arial" )) (line (pt 264 304)(pt 248 304)) ) (port (pt 264 176) (bidir) (text "mem_clk[0..0]" (rect 0 0 68 11)(font "Arial" )) (text "mem_clk[0..0]" (rect 186 171 254 182)(font "Arial" )) (line (pt 264 176)(pt 248 176)(line_width 3)) ) (port (pt 264 192) (bidir) (text "mem_clk_n[0..0]" (rect 0 0 81 11)(font "Arial" )) (text "mem_clk_n[0..0]" (rect 175 187 256 198)(font "Arial" )) (line (pt 264 192)(pt 248 192)(line_width 3)) ) (port (pt 264 208) (bidir) (text "mem_dq[15..0]" (rect 0 0 74 11)(font "Arial" )) (text "mem_dq[15..0]" (rect 183 203 257 214)(font "Arial" )) (line (pt 264 208)(pt 248 208)(line_width 3)) ) (port (pt 264 224) (bidir) (text "mem_dqs[1..0]" (rect 0 0 73 11)(font "Arial" )) (text "mem_dqs[1..0]" (rect 183 219 256 230)(font "Arial" )) (line (pt 264 224)(pt 248 224)(line_width 3)) ) (drawing (rectangle (rect 16 16 248 320)) ) ) (symbol (rect 184 2688 248 2736) (text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6))) (text "inst13" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect -2160 3360 -2096 3408) (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst23" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)) ) (drawing (line (pt 14 36)(pt 25 36)) (line (pt 14 13)(pt 25 13)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (symbol (rect 128 5296 448 5728) (text "tstcfg" (rect 5 0 33 11)(font "Arial" )) (text "inst39" (rect 8 416 38 427)(font "Arial" )) (port (pt 0 32) (input) (text "maddress[9..0]" (rect 0 0 73 11)(font "Arial" )) (text "maddress[9..0]" (rect 21 27 94 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 43 68 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "sdin" (rect 0 0 22 11)(font "Arial" )) (text "sdin" (rect 21 59 43 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "sclk" (rect 0 0 21 11)(font "Arial" )) (text "sclk" (rect 21 75 42 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "sen" (rect 0 0 20 11)(font "Arial" )) (text "sen" (rect 21 91 41 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "lreset" (rect 0 0 28 11)(font "Arial" )) (text "lreset" (rect 21 107 49 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "mreset" (rect 0 0 35 11)(font "Arial" )) (text "mreset" (rect 21 123 56 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "TEST_CMPLT[5..0]" (rect 0 0 96 11)(font "Arial" )) (text "TEST_CMPLT[5..0]" (rect 21 139 117 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)(line_width 3)) ) (port (pt 0 160) (input) (text "TEST_REZ[5..0]" (rect 0 0 81 11)(font "Arial" )) (text "TEST_REZ[5..0]" (rect 21 155 102 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "FX3_CLK_CNT[15..0]" (rect 0 0 107 11)(font "Arial" )) (text "FX3_CLK_CNT[15..0]" (rect 21 171 128 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "Si5351C_CLK0_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK0_CNT[15..0]" (rect 21 187 156 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)(line_width 3)) ) (port (pt 0 208) (input) (text "Si5351C_CLK1_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK1_CNT[15..0]" (rect 21 203 156 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)(line_width 3)) ) (port (pt 0 224) (input) (text "Si5351C_CLK2_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK2_CNT[15..0]" (rect 21 219 156 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)(line_width 3)) ) (port (pt 0 240) (input) (text "Si5351C_CLK3_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK3_CNT[15..0]" (rect 21 235 156 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)(line_width 3)) ) (port (pt 0 256) (input) (text "Si5351C_CLK5_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK5_CNT[15..0]" (rect 21 251 156 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)(line_width 3)) ) (port (pt 0 272) (input) (text "Si5351C_CLK6_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK6_CNT[15..0]" (rect 21 267 156 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)(line_width 3)) ) (port (pt 0 288) (input) (text "Si5351C_CLK7_CNT[15..0]" (rect 0 0 135 11)(font "Arial" )) (text "Si5351C_CLK7_CNT[15..0]" (rect 21 283 156 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)(line_width 3)) ) (port (pt 0 304) (input) (text "LMK_CLK_CNT[23..0]" (rect 0 0 109 11)(font "Arial" )) (text "LMK_CLK_CNT[23..0]" (rect 21 299 130 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)(line_width 3)) ) (port (pt 0 320) (input) (text "ADF_CNT[15..0]" (rect 0 0 81 11)(font "Arial" )) (text "ADF_CNT[15..0]" (rect 21 315 102 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)(line_width 3)) ) (port (pt 0 336) (input) (text "DDR2_1_STATUS[2..0]" (rect 0 0 116 11)(font "Arial" )) (text "DDR2_1_STATUS[2..0]" (rect 21 331 137 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)(line_width 3)) ) (port (pt 0 352) (input) (text "DDR2_1_pnf_per_bit[31..0]" (rect 0 0 134 11)(font "Arial" )) (text "DDR2_1_pnf_per_bit[31..0]" (rect 21 347 155 358)(font "Arial" )) (line (pt 0 352)(pt 16 352)(line_width 3)) ) (port (pt 0 368) (input) (text "DDR2_2_STATUS[2..0]" (rect 0 0 116 11)(font "Arial" )) (text "DDR2_2_STATUS[2..0]" (rect 21 363 137 374)(font "Arial" )) (line (pt 0 368)(pt 16 368)(line_width 3)) ) (port (pt 0 384) (input) (text "DDR2_2_pnf_per_bit[31..0]" (rect 0 0 134 11)(font "Arial" )) (text "DDR2_2_pnf_per_bit[31..0]" (rect 21 379 155 390)(font "Arial" )) (line (pt 0 384)(pt 16 384)(line_width 3)) ) (port (pt 320 32) (output) (text "sdout" (rect 0 0 28 11)(font "Arial" )) (text "sdout" (rect 265 27 293 38)(font "Arial" )) (line (pt 320 32)(pt 304 32)) ) (port (pt 320 48) (output) (text "oen" (rect 0 0 20 11)(font "Arial" )) (text "oen" (rect 279 43 299 54)(font "Arial" )) (line (pt 320 48)(pt 304 48)) ) (port (pt 320 64) (output) (text "stateo[5..0]" (rect 0 0 55 11)(font "Arial" )) (text "stateo[5..0]" (rect 216 59 271 70)(font "Arial" )) (line (pt 320 64)(pt 304 64)(line_width 3)) ) (port (pt 320 80) (output) (text "TEST_EN[5..0]" (rect 0 0 74 11)(font "Arial" )) (text "TEST_EN[5..0]" (rect 209 75 283 86)(font "Arial" )) (line (pt 320 80)(pt 304 80)(line_width 3)) ) (port (pt 320 96) (output) (text "TEST_FRC_ERR[5..0]" (rect 0 0 110 11)(font "Arial" )) (text "TEST_FRC_ERR[5..0]" (rect 174 91 284 102)(font "Arial" )) (line (pt 320 96)(pt 304 96)(line_width 3)) ) (port (pt 320 112) (output) (text "TX_TST_I[15..0]" (rect 0 0 81 11)(font "Arial" )) (text "TX_TST_I[15..0]" (rect 195 107 276 118)(font "Arial" )) (line (pt 320 112)(pt 304 112)(line_width 3)) ) (port (pt 320 128) (output) (text "TX_TST_Q[15..0]" (rect 0 0 86 11)(font "Arial" )) (text "TX_TST_Q[15..0]" (rect 195 123 281 134)(font "Arial" )) (line (pt 320 128)(pt 304 128)(line_width 3)) ) (drawing (rectangle (rect 16 16 304 416)) ) ) (symbol (rect -88 1520 200 1664) (text "gpio_ctrl_top" (rect 5 0 69 11)(font "Arial" )) (text "inst2" (rect 8 128 32 139)(font "Arial" )) (port (pt 0 32) (input) (text "mux_sel[bus_width-1..0]" (rect 0 0 119 11)(font "Arial" )) (text "mux_sel[bus_width-1..0]" (rect 21 27 140 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) (text "dir_0[bus_width-1..0]" (rect 0 0 102 11)(font "Arial" )) (text "dir_0[bus_width-1..0]" (rect 21 43 123 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port (pt 0 64) (input) (text "dir_1[bus_width-1..0]" (rect 0 0 102 11)(font "Arial" )) (text "dir_1[bus_width-1..0]" (rect 21 59 123 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 0 80) (input) (text "out_val_0[bus_width-1..0]" (rect 0 0 126 11)(font "Arial" )) (text "out_val_0[bus_width-1..0]" (rect 21 75 147 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 0 96) (input) (text "out_val_1[bus_width-1..0]" (rect 0 0 126 11)(font "Arial" )) (text "out_val_1[bus_width-1..0]" (rect 21 91 147 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)(line_width 3)) ) (port (pt 288 48) (output) (text "gpio_in[bus_width-1..0]" (rect 0 0 113 11)(font "Arial" )) (text "gpio_in[bus_width-1..0]" (rect 107 43 220 54)(font "Arial" )) (line (pt 288 48)(pt 272 48)(line_width 3)) ) (port (pt 288 32) (bidir) (text "gpio[bus_width-1..0]" (rect 0 0 99 11)(font "Arial" )) (text "gpio[bus_width-1..0]" (rect 192 27 291 38)(font "Arial" )) (line (pt 288 32)(pt 272 32)(line_width 3)) ) (parameter "bus_width" "8" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 272 128)) ) (annotation_block (parameter)(rect -88 1456 208 1504)) ) (symbol (rect -2400 3144 -2336 3224) (text "OR4" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst29" (rect 3 69 33 80)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 0 48) (input) (text "IN3" (rect 2 39 22 50)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 39 22 50)(font "Courier New" (bold))(invisible)) (line (pt 0 48)(pt 15 48)) ) (port (pt 0 64) (input) (text "IN4" (rect 2 55 22 66)(font "Courier New" (bold))(invisible)) (text "IN4" (rect 2 55 22 66)(font "Courier New" (bold))(invisible)) (line (pt 0 64)(pt 13 64)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 64 40) (output) (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) (line (pt 48 40)(pt 64 40)) ) (drawing (line (pt 13 67)(pt 13 51)) (line (pt 25 52)(pt 13 52)) (line (pt 13 12)(pt 13 29)) (line (pt 13 29)(pt 25 29)) (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57)) (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92)) (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52)) ) ) (symbol (rect -736 2712 -352 2920) (text "periphcfg" (rect 5 0 52 11)(font "Arial" )) (text "inst17" (rect 8 192 38 203)(font "Arial" )) (port (pt 0 32) (input) (text "maddress[9..0]" (rect 0 0 73 11)(font "Arial" )) (text "maddress[9..0]" (rect 21 27 94 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 43 68 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "sdin" (rect 0 0 22 11)(font "Arial" )) (text "sdin" (rect 21 59 43 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "sclk" (rect 0 0 21 11)(font "Arial" )) (text "sclk" (rect 21 75 42 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "sen" (rect 0 0 20 11)(font "Arial" )) (text "sen" (rect 21 91 41 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "lreset" (rect 0 0 28 11)(font "Arial" )) (text "lreset" (rect 21 107 49 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "mreset" (rect 0 0 35 11)(font "Arial" )) (text "mreset" (rect 21 123 56 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "BOARD_GPIO_RD[15..0]" (rect 0 0 126 11)(font "Arial" )) (text "BOARD_GPIO_RD[15..0]" (rect 21 139 147 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)(line_width 3)) ) (port (pt 0 160) (input) (text "PERIPH_INPUT_RD_0[15..0]" (rect 0 0 145 11)(font "Arial" )) (text "PERIPH_INPUT_RD_0[15..0]" (rect 21 155 166 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "PERIPH_INPUT_RD_1[15..0]" (rect 0 0 145 11)(font "Arial" )) (text "PERIPH_INPUT_RD_1[15..0]" (rect 21 171 166 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 384 32) (output) (text "sdout" (rect 0 0 28 11)(font "Arial" )) (text "sdout" (rect 329 27 357 38)(font "Arial" )) (line (pt 384 32)(pt 368 32)) ) (port (pt 384 48) (output) (text "oen" (rect 0 0 20 11)(font "Arial" )) (text "oen" (rect 343 43 363 54)(font "Arial" )) (line (pt 384 48)(pt 368 48)) ) (port (pt 384 64) (output) (text "stateo[5..0]" (rect 0 0 55 11)(font "Arial" )) (text "stateo[5..0]" (rect 280 59 335 70)(font "Arial" )) (line (pt 384 64)(pt 368 64)(line_width 3)) ) (port (pt 384 80) (output) (text "BOARD_GPIO_OVRD[15..0]" (rect 0 0 141 11)(font "Arial" )) (text "BOARD_GPIO_OVRD[15..0]" (rect 210 75 351 86)(font "Arial" )) (line (pt 384 80)(pt 368 80)(line_width 3)) ) (port (pt 384 96) (output) (text "BOARD_GPIO_DIR[15..0]" (rect 0 0 128 11)(font "Arial" )) (text "BOARD_GPIO_DIR[15..0]" (rect 217 91 345 102)(font "Arial" )) (line (pt 384 96)(pt 368 96)(line_width 3)) ) (port (pt 384 112) (output) (text "BOARD_GPIO_VAL[15..0]" (rect 0 0 130 11)(font "Arial" )) (text "BOARD_GPIO_VAL[15..0]" (rect 217 107 347 118)(font "Arial" )) (line (pt 384 112)(pt 368 112)(line_width 3)) ) (port (pt 384 128) (output) (text "PERIPH_OUTPUT_OVRD_0[15..0]" (rect 0 0 173 11)(font "Arial" )) (text "PERIPH_OUTPUT_OVRD_0[15..0]" (rect 175 123 348 134)(font "Arial" )) (line (pt 384 128)(pt 368 128)(line_width 3)) ) (port (pt 384 144) (output) (text "PERIPH_OUTPUT_VAL_0[15..0]" (rect 0 0 162 11)(font "Arial" )) (text "PERIPH_OUTPUT_VAL_0[15..0]" (rect 182 139 344 150)(font "Arial" )) (line (pt 384 144)(pt 368 144)(line_width 3)) ) (port (pt 384 160) (output) (text "PERIPH_OUTPUT_OVRD_1[15..0]" (rect 0 0 173 11)(font "Arial" )) (text "PERIPH_OUTPUT_OVRD_1[15..0]" (rect 175 155 348 166)(font "Arial" )) (line (pt 384 160)(pt 368 160)(line_width 3)) ) (port (pt 384 176) (output) (text "PERIPH_OUTPUT_VAL_1[15..0]" (rect 0 0 162 11)(font "Arial" )) (text "PERIPH_OUTPUT_VAL_1[15..0]" (rect 182 171 344 182)(font "Arial" )) (line (pt 384 176)(pt 368 176)(line_width 3)) ) (drawing (rectangle (rect 16 16 368 192)) ) ) (symbol (rect -880 2888 -848 2920) (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) (text "inst37" (rect 3 21 33 32)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect -656 1312 -544 1400) (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) (text "inst38" (rect 3 77 33 88)(font "Arial" )) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "1" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect -656 1256 -464 1298)) ) (symbol (rect 2488 4600 2760 4872) (text "txiqmux" (rect 5 0 45 11)(font "Arial" )) (text "inst10" (rect 8 256 38 267)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "test_ptrn_en" (rect 0 0 62 11)(font "Arial" )) (text "test_ptrn_en" (rect 21 59 83 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "test_ptrn_fidm" (rect 0 0 71 11)(font "Arial" )) (text "test_ptrn_fidm" (rect 21 75 92 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "test_ptrn_I[15..0]" (rect 0 0 82 11)(font "Arial" )) (text "test_ptrn_I[15..0]" (rect 21 91 103 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)(line_width 3)) ) (port (pt 0 112) (input) (text "test_ptrn_Q[15..0]" (rect 0 0 88 11)(font "Arial" )) (text "test_ptrn_Q[15..0]" (rect 21 107 109 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) (text "test_data_en" (rect 0 0 64 11)(font "Arial" )) (text "test_data_en" (rect 21 123 85 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "test_data_mimo_en" (rect 0 0 97 11)(font "Arial" )) (text "test_data_mimo_en" (rect 21 139 118 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "mux_sel" (rect 0 0 42 11)(font "Arial" )) (text "mux_sel" (rect 21 155 63 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "tx_diq_h[diq_width..0]" (rect 0 0 107 11)(font "Arial" )) (text "tx_diq_h[diq_width..0]" (rect 21 171 128 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "tx_diq_l[diq_width..0]" (rect 0 0 102 11)(font "Arial" )) (text "tx_diq_l[diq_width..0]" (rect 21 187 123 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)(line_width 3)) ) (port (pt 0 208) (input) (text "wfm_diq_h[diq_width..0]" (rect 0 0 118 11)(font "Arial" )) (text "wfm_diq_h[diq_width..0]" (rect 21 203 139 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)(line_width 3)) ) (port (pt 0 224) (input) (text "wfm_diq_l[diq_width..0]" (rect 0 0 114 11)(font "Arial" )) (text "wfm_diq_l[diq_width..0]" (rect 21 219 135 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)(line_width 3)) ) (port (pt 272 32) (output) (text "diq_h[diq_width..0]" (rect 0 0 92 11)(font "Arial" )) (text "diq_h[diq_width..0]" (rect 119 27 211 38)(font "Arial" )) (line (pt 272 32)(pt 256 32)(line_width 3)) ) (port (pt 272 48) (output) (text "diq_l[diq_width..0]" (rect 0 0 88 11)(font "Arial" )) (text "diq_l[diq_width..0]" (rect 119 43 207 54)(font "Arial" )) (line (pt 272 48)(pt 256 48)(line_width 3)) ) (parameter "diq_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 256 256)) ) (annotation_block (parameter)(rect 2488 4536 2784 4584)) ) (symbol (rect 1432 2624 1464 2656) (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) (text "inst43" (rect 3 21 33 32)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 1584 3632 1888 4032) (text "tx_path_top" (rect 5 0 64 11)(font "Arial" )) (text "inst35" (rect 8 384 38 395)(font "Arial" )) (port (pt 0 32) (input) (text "pct_wrclk" (rect 0 0 47 11)(font "Arial" )) (text "pct_wrclk" (rect 21 27 68 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "iq_rdclk" (rect 0 0 40 11)(font "Arial" )) (text "iq_rdclk" (rect 21 43 61 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 59 59 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "en" (rect 0 0 14 11)(font "Arial" )) (text "en" (rect 21 75 35 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "rx_sample_clk" (rect 0 0 71 11)(font "Arial" )) (text "rx_sample_clk" (rect 21 91 92 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "rx_sample_nr[63..0]" (rect 0 0 97 11)(font "Arial" )) (text "rx_sample_nr[63..0]" (rect 21 107 118 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) (text "pct_sync_mode" (rect 0 0 79 11)(font "Arial" )) (text "pct_sync_mode" (rect 21 123 100 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "pct_sync_dis" (rect 0 0 64 11)(font "Arial" )) (text "pct_sync_dis" (rect 21 139 85 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "pct_sync_pulse" (rect 0 0 77 11)(font "Arial" )) (text "pct_sync_pulse" (rect 21 155 98 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "pct_sync_size[15..0]" (rect 0 0 100 11)(font "Arial" )) (text "pct_sync_size[15..0]" (rect 21 171 121 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "pct_loss_flg_clr" (rect 0 0 79 11)(font "Arial" )) (text "pct_loss_flg_clr" (rect 21 187 100 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "txant_cyc_before_en[15..0]" (rect 0 0 133 11)(font "Arial" )) (text "txant_cyc_before_en[15..0]" (rect 21 203 154 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)(line_width 3)) ) (port (pt 0 224) (input) (text "txant_cyc_after_en[15..0]" (rect 0 0 123 11)(font "Arial" )) (text "txant_cyc_after_en[15..0]" (rect 21 219 144 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)(line_width 3)) ) (port (pt 0 240) (input) (text "mode" (rect 0 0 29 11)(font "Arial" )) (text "mode" (rect 21 235 50 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "trxiqpulse" (rect 0 0 48 11)(font "Arial" )) (text "trxiqpulse" (rect 21 251 69 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "ddr_en" (rect 0 0 36 11)(font "Arial" )) (text "ddr_en" (rect 21 267 57 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 283 68 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "ch_en[1..0]" (rect 0 0 55 11)(font "Arial" )) (text "ch_en[1..0]" (rect 21 299 76 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)(line_width 3)) ) (port (pt 0 320) (input) (text "fidm" (rect 0 0 22 11)(font "Arial" )) (text "fidm" (rect 21 315 43 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "sample_width[1..0]" (rect 0 0 92 11)(font "Arial" )) (text "sample_width[1..0]" (rect 21 331 113 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)(line_width 3)) ) (port (pt 0 352) (input) (text "in_pct_wrreq" (rect 0 0 64 11)(font "Arial" )) (text "in_pct_wrreq" (rect 21 347 85 358)(font "Arial" )) (line (pt 0 352)(pt 16 352)) ) (port (pt 0 368) (input) (text "in_pct_data[in_pct_data_w-1..0]" (rect 0 0 156 11)(font "Arial" )) (text "in_pct_data[in_pct_data_w-1..0]" (rect 21 363 177 374)(font "Arial" )) (line (pt 0 368)(pt 16 368)(line_width 3)) ) (port (pt 304 32) (output) (text "pct_loss_flg" (rect 0 0 60 11)(font "Arial" )) (text "pct_loss_flg" (rect 200 27 260 38)(font "Arial" )) (line (pt 304 32)(pt 288 32)) ) (port (pt 304 48) (output) (text "txant_en" (rect 0 0 43 11)(font "Arial" )) (text "txant_en" (rect 228 43 271 54)(font "Arial" )) (line (pt 304 48)(pt 288 48)) ) (port (pt 304 64) (output) (text "DIQ[iq_width-1..0]" (rect 0 0 88 11)(font "Arial" )) (text "DIQ[iq_width-1..0]" (rect 158 59 246 70)(font "Arial" )) (line (pt 304 64)(pt 288 64)(line_width 3)) ) (port (pt 304 80) (output) (text "fsync" (rect 0 0 27 11)(font "Arial" )) (text "fsync" (rect 249 75 276 86)(font "Arial" )) (line (pt 304 80)(pt 288 80)) ) (port (pt 304 96) (output) (text "DIQ_h[iq_width..0]" (rect 0 0 90 11)(font "Arial" )) (text "DIQ_h[iq_width..0]" (rect 158 91 248 102)(font "Arial" )) (line (pt 304 96)(pt 288 96)(line_width 3)) ) (port (pt 304 112) (output) (text "DIQ_l[iq_width..0]" (rect 0 0 87 11)(font "Arial" )) (text "DIQ_l[iq_width..0]" (rect 158 107 245 118)(font "Arial" )) (line (pt 304 112)(pt 288 112)(line_width 3)) ) (port (pt 304 128) (output) (text "in_pct_full" (rect 0 0 50 11)(font "Arial" )) (text "in_pct_full" (rect 207 123 257 134)(font "Arial" )) (line (pt 304 128)(pt 288 128)) ) (parameter "dev_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "iq_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "pct_size_w" "16" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "n_buff" "4" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "in_pct_data_w" "32" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "out_pct_data_w" "64" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "decomp_fifo_size" "9" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 288 384)) ) (annotation_block (parameter)(rect 1888 3464 2320 3632)) ) (symbol (rect 2016 3744 2064 3776) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst36" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 1080 3760 1272 3872) (text "pulse_gen" (rect 5 0 58 11)(font "Arial" )) (text "inst33" (rect 8 96 38 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "wait_cycles[31..0]" (rect 0 0 87 11)(font "Arial" )) (text "wait_cycles[31..0]" (rect 21 59 108 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 192 32) (output) (text "pulse" (rect 0 0 28 11)(font "Arial" )) (text "pulse" (rect 137 27 165 38)(font "Arial" )) (line (pt 192 32)(pt 176 32)) ) (parameter "wait_cycles" "6250" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 176 96)) ) (annotation_block (parameter)(rect 928 3656 1240 3698)) ) (symbol (rect -720 1912 -440 2664) (text "fpgacfg" (rect 5 0 42 11)(font "Arial" )) (text "inst24" (rect 8 736 38 747)(font "Arial" )) (port (pt 0 32) (input) (text "maddress[9..0]" (rect 0 0 73 11)(font "Arial" )) (text "maddress[9..0]" (rect 21 27 94 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 43 68 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "sdin" (rect 0 0 22 11)(font "Arial" )) (text "sdin" (rect 21 59 43 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "sclk" (rect 0 0 21 11)(font "Arial" )) (text "sclk" (rect 21 75 42 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "sen" (rect 0 0 20 11)(font "Arial" )) (text "sen" (rect 21 91 41 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "lreset" (rect 0 0 28 11)(font "Arial" )) (text "lreset" (rect 21 107 49 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "mreset" (rect 0 0 35 11)(font "Arial" )) (text "mreset" (rect 21 123 56 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "HW_VER[3..0]" (rect 0 0 71 11)(font "Arial" )) (text "HW_VER[3..0]" (rect 21 139 92 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)(line_width 3)) ) (port (pt 0 160) (input) (text "BOM_VER[2..0]" (rect 0 0 79 11)(font "Arial" )) (text "BOM_VER[2..0]" (rect 21 155 100 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "PWR_SRC" (rect 0 0 56 11)(font "Arial" )) (text "PWR_SRC" (rect 21 171 77 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)) ) (port (pt 280 32) (output) (text "sdout" (rect 0 0 28 11)(font "Arial" )) (text "sdout" (rect 225 27 253 38)(font "Arial" )) (line (pt 280 32)(pt 264 32)) ) (port (pt 280 48) (output) (text "oen" (rect 0 0 20 11)(font "Arial" )) (text "oen" (rect 239 43 259 54)(font "Arial" )) (line (pt 280 48)(pt 264 48)) ) (port (pt 280 64) (output) (text "stateo[5..0]" (rect 0 0 55 11)(font "Arial" )) (text "stateo[5..0]" (rect 176 59 231 70)(font "Arial" )) (line (pt 280 64)(pt 264 64)(line_width 3)) ) (port (pt 280 80) (output) (text "phase_reg_sel[15..0]" (rect 0 0 103 11)(font "Arial" )) (text "phase_reg_sel[15..0]" (rect 120 75 223 86)(font "Arial" )) (line (pt 280 80)(pt 264 80)(line_width 3)) ) (port (pt 280 96) (output) (text "clk_ind[4..0]" (rect 0 0 59 11)(font "Arial" )) (text "clk_ind[4..0]" (rect 169 91 228 102)(font "Arial" )) (line (pt 280 96)(pt 264 96)(line_width 3)) ) (port (pt 280 112) (output) (text "cnt_ind[4..0]" (rect 0 0 60 11)(font "Arial" )) (text "cnt_ind[4..0]" (rect 169 107 229 118)(font "Arial" )) (line (pt 280 112)(pt 264 112)(line_width 3)) ) (port (pt 280 128) (output) (text "load_phase_reg" (rect 0 0 80 11)(font "Arial" )) (text "load_phase_reg" (rect 162 123 242 134)(font "Arial" )) (line (pt 280 128)(pt 264 128)) ) (port (pt 280 144) (output) (text "drct_clk_en[15..0]" (rect 0 0 87 11)(font "Arial" )) (text "drct_clk_en[15..0]" (rect 134 139 221 150)(font "Arial" )) (line (pt 280 144)(pt 264 144)(line_width 3)) ) (port (pt 280 160) (output) (text "ch_en[15..0]" (rect 0 0 61 11)(font "Arial" )) (text "ch_en[15..0]" (rect 176 155 237 166)(font "Arial" )) (line (pt 280 160)(pt 264 160)(line_width 3)) ) (port (pt 280 176) (output) (text "smpl_width[1..0]" (rect 0 0 80 11)(font "Arial" )) (text "smpl_width[1..0]" (rect 148 171 228 182)(font "Arial" )) (line (pt 280 176)(pt 264 176)(line_width 3)) ) (port (pt 280 192) (output) (text "mode" (rect 0 0 29 11)(font "Arial" )) (text "mode" (rect 232 187 261 198)(font "Arial" )) (line (pt 280 192)(pt 264 192)) ) (port (pt 280 208) (output) (text "ddr_en" (rect 0 0 36 11)(font "Arial" )) (text "ddr_en" (rect 218 203 254 214)(font "Arial" )) (line (pt 280 208)(pt 264 208)) ) (port (pt 280 224) (output) (text "trxiq_pulse" (rect 0 0 55 11)(font "Arial" )) (text "trxiq_pulse" (rect 183 219 238 230)(font "Arial" )) (line (pt 280 224)(pt 264 224)) ) (port (pt 280 240) (output) (text "mimo_int_en" (rect 0 0 64 11)(font "Arial" )) (text "mimo_int_en" (rect 183 235 247 246)(font "Arial" )) (line (pt 280 240)(pt 264 240)) ) (port (pt 280 256) (output) (text "synch_dis" (rect 0 0 50 11)(font "Arial" )) (text "synch_dis" (rect 197 251 247 262)(font "Arial" )) (line (pt 280 256)(pt 264 256)) ) (port (pt 280 272) (output) (text "synch_mode" (rect 0 0 63 11)(font "Arial" )) (text "synch_mode" (rect 190 267 253 278)(font "Arial" )) (line (pt 280 272)(pt 264 272)) ) (port (pt 280 288) (output) (text "smpl_nr_clr" (rect 0 0 60 11)(font "Arial" )) (text "smpl_nr_clr" (rect 183 283 243 294)(font "Arial" )) (line (pt 280 288)(pt 264 288)) ) (port (pt 280 304) (output) (text "txpct_loss_clr" (rect 0 0 69 11)(font "Arial" )) (text "txpct_loss_clr" (rect 162 299 231 310)(font "Arial" )) (line (pt 280 304)(pt 264 304)) ) (port (pt 280 320) (output) (text "rx_en" (rect 0 0 29 11)(font "Arial" )) (text "rx_en" (rect 225 315 254 326)(font "Arial" )) (line (pt 280 320)(pt 264 320)) ) (port (pt 280 336) (output) (text "tx_en" (rect 0 0 28 11)(font "Arial" )) (text "tx_en" (rect 225 331 253 342)(font "Arial" )) (line (pt 280 336)(pt 264 336)) ) (port (pt 280 352) (output) (text "rx_ptrn_en" (rect 0 0 54 11)(font "Arial" )) (text "rx_ptrn_en" (rect 190 347 244 358)(font "Arial" )) (line (pt 280 352)(pt 264 352)) ) (port (pt 280 368) (output) (text "tx_ptrn_en" (rect 0 0 54 11)(font "Arial" )) (text "tx_ptrn_en" (rect 190 363 244 374)(font "Arial" )) (line (pt 280 368)(pt 264 368)) ) (port (pt 280 384) (output) (text "tx_cnt_en" (rect 0 0 49 11)(font "Arial" )) (text "tx_cnt_en" (rect 197 379 246 390)(font "Arial" )) (line (pt 280 384)(pt 264 384)) ) (port (pt 280 400) (output) (text "wfm_ch_en[15..0]" (rect 0 0 87 11)(font "Arial" )) (text "wfm_ch_en[15..0]" (rect 148 395 235 406)(font "Arial" )) (line (pt 280 400)(pt 264 400)(line_width 3)) ) (port (pt 280 416) (output) (text "wfm_play" (rect 0 0 48 11)(font "Arial" )) (text "wfm_play" (rect 204 411 252 422)(font "Arial" )) (line (pt 280 416)(pt 264 416)) ) (port (pt 280 432) (output) (text "wfm_load" (rect 0 0 48 11)(font "Arial" )) (text "wfm_load" (rect 204 427 252 438)(font "Arial" )) (line (pt 280 432)(pt 264 432)) ) (port (pt 280 448) (output) (text "wfm_smpl_width[1..0]" (rect 0 0 106 11)(font "Arial" )) (text "wfm_smpl_width[1..0]" (rect 120 443 226 454)(font "Arial" )) (line (pt 280 448)(pt 264 448)(line_width 3)) ) (port (pt 280 464) (output) (text "SPI_SS[15..0]" (rect 0 0 69 11)(font "Arial" )) (text "SPI_SS[15..0]" (rect 169 459 238 470)(font "Arial" )) (line (pt 280 464)(pt 264 464)(line_width 3)) ) (port (pt 280 480) (output) (text "LMS1_SS" (rect 0 0 50 11)(font "Arial" )) (text "LMS1_SS" (rect 211 475 261 486)(font "Arial" )) (line (pt 280 480)(pt 264 480)) ) (port (pt 280 496) (output) (text "LMS1_RESET" (rect 0 0 73 11)(font "Arial" )) (text "LMS1_RESET" (rect 190 491 263 502)(font "Arial" )) (line (pt 280 496)(pt 264 496)) ) (port (pt 280 512) (output) (text "LMS1_CORE_LDO_EN" (rect 0 0 116 11)(font "Arial" )) (text "LMS1_CORE_LDO_EN" (rect 148 507 264 518)(font "Arial" )) (line (pt 280 512)(pt 264 512)) ) (port (pt 280 528) (output) (text "LMS1_TXNRX1" (rect 0 0 79 11)(font "Arial" )) (text "LMS1_TXNRX1" (rect 183 523 262 534)(font "Arial" )) (line (pt 280 528)(pt 264 528)) ) (port (pt 280 544) (output) (text "LMS1_TXNRX2" (rect 0 0 80 11)(font "Arial" )) (text "LMS1_TXNRX2" (rect 183 539 263 550)(font "Arial" )) (line (pt 280 544)(pt 264 544)) ) (port (pt 280 560) (output) (text "LMS1_TXEN" (rect 0 0 64 11)(font "Arial" )) (text "LMS1_TXEN" (rect 197 555 261 566)(font "Arial" )) (line (pt 280 560)(pt 264 560)) ) (port (pt 280 576) (output) (text "LMS1_RXEN" (rect 0 0 66 11)(font "Arial" )) (text "LMS1_RXEN" (rect 197 571 263 582)(font "Arial" )) (line (pt 280 576)(pt 264 576)) ) (port (pt 280 592) (output) (text "GPIO[6..0]" (rect 0 0 51 11)(font "Arial" )) (text "GPIO[6..0]" (rect 190 587 241 598)(font "Arial" )) (line (pt 280 592)(pt 264 592)(line_width 3)) ) (port (pt 280 608) (output) (text "FPGA_LED1_CTRL[2..0]" (rect 0 0 123 11)(font "Arial" )) (text "FPGA_LED1_CTRL[2..0]" (rect 120 603 243 614)(font "Arial" )) (line (pt 280 608)(pt 264 608)(line_width 3)) ) (port (pt 280 624) (output) (text "FPGA_LED2_CTRL[2..0]" (rect 0 0 123 11)(font "Arial" )) (text "FPGA_LED2_CTRL[2..0]" (rect 120 619 243 630)(font "Arial" )) (line (pt 280 624)(pt 264 624)(line_width 3)) ) (port (pt 280 640) (output) (text "FX3_LED_CTRL[2..0]" (rect 0 0 107 11)(font "Arial" )) (text "FX3_LED_CTRL[2..0]" (rect 134 635 241 646)(font "Arial" )) (line (pt 280 640)(pt 264 640)(line_width 3)) ) (port (pt 280 656) (output) (text "FCLK_ENA[1..0]" (rect 0 0 81 11)(font "Arial" )) (text "FCLK_ENA[1..0]" (rect 162 651 243 662)(font "Arial" )) (line (pt 280 656)(pt 264 656)(line_width 3)) ) (port (pt 280 672) (output) (text "sync_pulse_period[31..0]" (rect 0 0 122 11)(font "Arial" )) (text "sync_pulse_period[31..0]" (rect 92 667 214 678)(font "Arial" )) (line (pt 280 672)(pt 264 672)(line_width 3)) ) (port (pt 280 688) (output) (text "sync_size[15..0]" (rect 0 0 79 11)(font "Arial" )) (text "sync_size[15..0]" (rect 148 683 227 694)(font "Arial" )) (line (pt 280 688)(pt 264 688)(line_width 3)) ) (port (pt 280 704) (output) (text "txant_pre[15..0]" (rect 0 0 76 11)(font "Arial" )) (text "txant_pre[15..0]" (rect 148 699 224 710)(font "Arial" )) (line (pt 280 704)(pt 264 704)(line_width 3)) ) (port (pt 280 720) (output) (text "txant_post[15..0]" (rect 0 0 81 11)(font "Arial" )) (text "txant_post[15..0]" (rect 141 715 222 726)(font "Arial" )) (line (pt 280 720)(pt 264 720)(line_width 3)) ) (drawing (rectangle (rect 16 16 264 736)) ) ) (symbol (rect -1808 3512 -1696 3600) (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) (text "inst34" (rect 3 77 33 88)(font "Arial" )) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "3" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect -1696 3464 -1504 3506)) ) (symbol (rect 1048 952 1296 1320) (text "rx_pll_top" (rect 5 0 54 11)(font "Arial" )) (text "inst48" (rect 8 352 38 363)(font "Arial" )) (port (pt 0 32) (input) (text "pll_inclk" (rect 0 0 41 11)(font "Arial" )) (text "pll_inclk" (rect 21 27 62 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "pll_areset" (rect 0 0 49 11)(font "Arial" )) (text "pll_areset" (rect 21 43 70 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "pll_logic_reset_n" (rect 0 0 83 11)(font "Arial" )) (text "pll_logic_reset_n" (rect 21 59 104 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "inv_c0" (rect 0 0 33 11)(font "Arial" )) (text "inv_c0" (rect 21 75 54 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "clk_ena[1..0]" (rect 0 0 62 11)(font "Arial" )) (text "clk_ena[1..0]" (rect 21 91 83 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)(line_width 3)) ) (port (pt 0 112) (input) (text "drct_clk_en[1..0]" (rect 0 0 81 11)(font "Arial" )) (text "drct_clk_en[1..0]" (rect 21 107 102 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) (text "rcnfg_clk" (rect 0 0 46 11)(font "Arial" )) (text "rcnfg_clk" (rect 21 123 67 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "rcnfig_areset" (rect 0 0 64 11)(font "Arial" )) (text "rcnfig_areset" (rect 21 139 85 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "rcnfig_en" (rect 0 0 47 11)(font "Arial" )) (text "rcnfig_en" (rect 21 155 68 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "rcnfig_data[143..0]" (rect 0 0 92 11)(font "Arial" )) (text "rcnfig_data[143..0]" (rect 21 171 113 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "dynps_mode" (rect 0 0 64 11)(font "Arial" )) (text "dynps_mode" (rect 21 187 85 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "dynps_areset_n" (rect 0 0 80 11)(font "Arial" )) (text "dynps_areset_n" (rect 21 203 101 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "dynps_en" (rect 0 0 49 11)(font "Arial" )) (text "dynps_en" (rect 21 219 70 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "dynps_tst" (rect 0 0 48 11)(font "Arial" )) (text "dynps_tst" (rect 21 235 69 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "dynps_dir" (rect 0 0 50 11)(font "Arial" )) (text "dynps_dir" (rect 21 251 71 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "dynps_cnt_sel[2..0]" (rect 0 0 95 11)(font "Arial" )) (text "dynps_cnt_sel[2..0]" (rect 21 267 116 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)(line_width 3)) ) (port (pt 0 288) (input) (text "dynps_phase[9..0]" (rect 0 0 90 11)(font "Arial" )) (text "dynps_phase[9..0]" (rect 21 283 111 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)(line_width 3)) ) (port (pt 0 304) (input) (text "dynps_step_size[9..0]" (rect 0 0 107 11)(font "Arial" )) (text "dynps_step_size[9..0]" (rect 21 299 128 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)(line_width 3)) ) (port (pt 0 320) (input) (text "smpl_cmp_done" (rect 0 0 82 11)(font "Arial" )) (text "smpl_cmp_done" (rect 21 315 103 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "smpl_cmp_error" (rect 0 0 82 11)(font "Arial" )) (text "smpl_cmp_error" (rect 21 331 103 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)) ) (port (pt 248 32) (output) (text "c0" (rect 0 0 12 11)(font "Arial" )) (text "c0" (rect 214 27 226 38)(font "Arial" )) (line (pt 248 32)(pt 232 32)) ) (port (pt 248 48) (output) (text "c1" (rect 0 0 11 11)(font "Arial" )) (text "c1" (rect 214 43 225 54)(font "Arial" )) (line (pt 248 48)(pt 232 48)) ) (port (pt 248 64) (output) (text "pll_locked" (rect 0 0 50 11)(font "Arial" )) (text "pll_locked" (rect 158 59 208 70)(font "Arial" )) (line (pt 248 64)(pt 232 64)) ) (port (pt 248 80) (output) (text "rcnfig_status" (rect 0 0 63 11)(font "Arial" )) (text "rcnfig_status" (rect 137 75 200 86)(font "Arial" )) (line (pt 248 80)(pt 232 80)) ) (port (pt 248 96) (output) (text "dynps_busy" (rect 0 0 60 11)(font "Arial" )) (text "dynps_busy" (rect 158 91 218 102)(font "Arial" )) (line (pt 248 96)(pt 232 96)) ) (port (pt 248 112) (output) (text "dynps_done" (rect 0 0 61 11)(font "Arial" )) (text "dynps_done" (rect 158 107 219 118)(font "Arial" )) (line (pt 248 112)(pt 232 112)) ) (port (pt 248 128) (output) (text "dynps_status" (rect 0 0 66 11)(font "Arial" )) (text "dynps_status" (rect 144 123 210 134)(font "Arial" )) (line (pt 248 128)(pt 232 128)) ) (port (pt 248 144) (output) (text "smpl_cmp_en" (rect 0 0 70 11)(font "Arial" )) (text "smpl_cmp_en" (rect 151 139 221 150)(font "Arial" )) (line (pt 248 144)(pt 232 144)) ) (port (pt 248 160) (output) (text "busy" (rect 0 0 24 11)(font "Arial" )) (text "busy" (rect 200 155 224 166)(font "Arial" )) (line (pt 248 160)(pt 232 160)) ) (parameter "bandwidth_type" "AUTO" "" (type "PARAMETER_STRING") ) (parameter "clk0_divide_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_duty_cycle" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_multiply_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_phase_shift" "0" "" (type "PARAMETER_STRING") ) (parameter "clk1_divide_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_duty_cycle" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_multiply_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_phase_shift" "0" "" (type "PARAMETER_STRING") ) (parameter "compensate_clock" "CLK1" "" (type "PARAMETER_STRING") ) (parameter "inclk0_input_frequency" "6250" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "intended_device_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "operation_mode" "SOURCE_SYNCHRONOUS" "" (type "PARAMETER_STRING") ) (parameter "scan_chain_mif_file" "ip/pll/pll.mif" "" (type "PARAMETER_STRING") ) (parameter "drct_c0_ndly" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "drct_c1_ndly" "2" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 232 352)) ) (annotation_block (parameter)(rect 1048 560 1600 917)) ) (symbol (rect 888 1144 936 1176) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst64" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 2296 984 2544 1352) (text "tx_pll_top" (rect 5 0 53 11)(font "Arial" )) (text "inst32" (rect 8 352 38 363)(font "Arial" )) (port (pt 0 32) (input) (text "pll_inclk" (rect 0 0 41 11)(font "Arial" )) (text "pll_inclk" (rect 21 27 62 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "pll_areset" (rect 0 0 49 11)(font "Arial" )) (text "pll_areset" (rect 21 43 70 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "pll_logic_reset_n" (rect 0 0 83 11)(font "Arial" )) (text "pll_logic_reset_n" (rect 21 59 104 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "inv_c0" (rect 0 0 33 11)(font "Arial" )) (text "inv_c0" (rect 21 75 54 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "clk_ena[1..0]" (rect 0 0 62 11)(font "Arial" )) (text "clk_ena[1..0]" (rect 21 91 83 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)(line_width 3)) ) (port (pt 0 112) (input) (text "drct_clk_en[1..0]" (rect 0 0 81 11)(font "Arial" )) (text "drct_clk_en[1..0]" (rect 21 107 102 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) (text "rcnfg_clk" (rect 0 0 46 11)(font "Arial" )) (text "rcnfg_clk" (rect 21 123 67 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "rcnfig_areset" (rect 0 0 64 11)(font "Arial" )) (text "rcnfig_areset" (rect 21 139 85 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "rcnfig_en" (rect 0 0 47 11)(font "Arial" )) (text "rcnfig_en" (rect 21 155 68 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "rcnfig_data[143..0]" (rect 0 0 92 11)(font "Arial" )) (text "rcnfig_data[143..0]" (rect 21 171 113 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "dynps_areset_n" (rect 0 0 80 11)(font "Arial" )) (text "dynps_areset_n" (rect 21 187 101 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "dynps_mode" (rect 0 0 64 11)(font "Arial" )) (text "dynps_mode" (rect 21 203 85 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "dynps_en" (rect 0 0 49 11)(font "Arial" )) (text "dynps_en" (rect 21 219 70 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "dynps_tst" (rect 0 0 48 11)(font "Arial" )) (text "dynps_tst" (rect 21 235 69 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "dynps_dir" (rect 0 0 50 11)(font "Arial" )) (text "dynps_dir" (rect 21 251 71 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "dynps_cnt_sel[2..0]" (rect 0 0 95 11)(font "Arial" )) (text "dynps_cnt_sel[2..0]" (rect 21 267 116 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)(line_width 3)) ) (port (pt 0 288) (input) (text "dynps_phase[9..0]" (rect 0 0 90 11)(font "Arial" )) (text "dynps_phase[9..0]" (rect 21 283 111 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)(line_width 3)) ) (port (pt 0 304) (input) (text "dynps_step_size[9..0]" (rect 0 0 107 11)(font "Arial" )) (text "dynps_step_size[9..0]" (rect 21 299 128 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)(line_width 3)) ) (port (pt 0 320) (input) (text "smpl_cmp_done" (rect 0 0 82 11)(font "Arial" )) (text "smpl_cmp_done" (rect 21 315 103 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "smpl_cmp_error" (rect 0 0 82 11)(font "Arial" )) (text "smpl_cmp_error" (rect 21 331 103 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)) ) (port (pt 248 32) (output) (text "c0" (rect 0 0 12 11)(font "Arial" )) (text "c0" (rect 214 27 226 38)(font "Arial" )) (line (pt 248 32)(pt 232 32)) ) (port (pt 248 48) (output) (text "c1" (rect 0 0 11 11)(font "Arial" )) (text "c1" (rect 214 43 225 54)(font "Arial" )) (line (pt 248 48)(pt 232 48)) ) (port (pt 248 64) (output) (text "pll_locked" (rect 0 0 50 11)(font "Arial" )) (text "pll_locked" (rect 158 59 208 70)(font "Arial" )) (line (pt 248 64)(pt 232 64)) ) (port (pt 248 80) (output) (text "rcnfig_status" (rect 0 0 63 11)(font "Arial" )) (text "rcnfig_status" (rect 137 75 200 86)(font "Arial" )) (line (pt 248 80)(pt 232 80)) ) (port (pt 248 96) (output) (text "dynps_busy" (rect 0 0 60 11)(font "Arial" )) (text "dynps_busy" (rect 158 91 218 102)(font "Arial" )) (line (pt 248 96)(pt 232 96)) ) (port (pt 248 112) (output) (text "dynps_done" (rect 0 0 61 11)(font "Arial" )) (text "dynps_done" (rect 158 107 219 118)(font "Arial" )) (line (pt 248 112)(pt 232 112)) ) (port (pt 248 128) (output) (text "dynps_status" (rect 0 0 66 11)(font "Arial" )) (text "dynps_status" (rect 144 123 210 134)(font "Arial" )) (line (pt 248 128)(pt 232 128)) ) (port (pt 248 144) (output) (text "smpl_cmp_en" (rect 0 0 70 11)(font "Arial" )) (text "smpl_cmp_en" (rect 151 139 221 150)(font "Arial" )) (line (pt 248 144)(pt 232 144)) ) (port (pt 248 160) (output) (text "busy" (rect 0 0 24 11)(font "Arial" )) (text "busy" (rect 200 155 224 166)(font "Arial" )) (line (pt 248 160)(pt 232 160)) ) (parameter "bandwidth_type" "AUTO" "" (type "PARAMETER_STRING") ) (parameter "clk0_divide_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_duty_cycle" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_multiply_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk0_phase_shift" "0" "" (type "PARAMETER_STRING") ) (parameter "clk1_divide_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_duty_cycle" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_multiply_by" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "clk1_phase_shift" "0" "" (type "PARAMETER_STRING") ) (parameter "compensate_clock" "CLK1" "" (type "PARAMETER_STRING") ) (parameter "inclk0_input_frequency" "6250" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "intended_device_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "operation_mode" "SOURCE_SYNCHRONOUS" "" (type "PARAMETER_STRING") ) (parameter "scan_chain_mif_file" "ip/txpll/txpll.mif" "" (type "PARAMETER_STRING") ) (parameter "drct_c0_ndly" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "drct_c1_ndly" "2" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 232 352)) ) (annotation_block (parameter)(rect 2296 576 2848 933)) ) (symbol (rect 2136 1160 2184 1192) (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) (text "inst66" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 1632 1288 1936 1592) (text "pllcfg_top" (rect 5 0 53 11)(font "Arial" )) (text "inst9" (rect 8 288 32 299)(font "Arial" )) (port (pt 0 32) (input) (text "sdinA" (rect 0 0 30 11)(font "Arial" )) (text "sdinA" (rect 21 27 51 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "sclkA" (rect 0 0 29 11)(font "Arial" )) (text "sclkA" (rect 21 43 50 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "senA" (rect 0 0 28 11)(font "Arial" )) (text "senA" (rect 21 59 49 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "sdinB" (rect 0 0 29 11)(font "Arial" )) (text "sdinB" (rect 21 75 50 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "sclkB" (rect 0 0 28 11)(font "Arial" )) (text "sclkB" (rect 21 91 49 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "senB" (rect 0 0 27 11)(font "Arial" )) (text "senB" (rect 21 107 48 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "lreset" (rect 0 0 28 11)(font "Arial" )) (text "lreset" (rect 21 123 49 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "mreset" (rect 0 0 35 11)(font "Arial" )) (text "mreset" (rect 21 139 56 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "pllcfg_busy[n_pll-1..0]" (rect 0 0 107 11)(font "Arial" )) (text "pllcfg_busy[n_pll-1..0]" (rect 21 155 128 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "pllcfg_done[n_pll-1..0]" (rect 0 0 108 11)(font "Arial" )) (text "pllcfg_done[n_pll-1..0]" (rect 21 171 129 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)(line_width 3)) ) (port (pt 0 192) (input) (text "pll_lock[n_pll-1..0]" (rect 0 0 88 11)(font "Arial" )) (text "pll_lock[n_pll-1..0]" (rect 21 187 109 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)(line_width 3)) ) (port (pt 0 208) (input) (text "auto_phcfg_done[n_pll-1..0]" (rect 0 0 136 11)(font "Arial" )) (text "auto_phcfg_done[n_pll-1..0]" (rect 21 203 157 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)(line_width 3)) ) (port (pt 0 224) (input) (text "auto_phcfg_err[n_pll-1..0]" (rect 0 0 126 11)(font "Arial" )) (text "auto_phcfg_err[n_pll-1..0]" (rect 21 219 147 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)(line_width 3)) ) (port (pt 304 32) (output) (text "sdoutA" (rect 0 0 37 11)(font "Arial" )) (text "sdoutA" (rect 242 27 279 38)(font "Arial" )) (line (pt 304 32)(pt 288 32)) ) (port (pt 304 48) (output) (text "oenA" (rect 0 0 28 11)(font "Arial" )) (text "oenA" (rect 256 43 284 54)(font "Arial" )) (line (pt 304 48)(pt 288 48)) ) (port (pt 304 64) (output) (text "sdoutB" (rect 0 0 36 11)(font "Arial" )) (text "sdoutB" (rect 242 59 278 70)(font "Arial" )) (line (pt 304 64)(pt 288 64)) ) (port (pt 304 80) (output) (text "oenB" (rect 0 0 27 11)(font "Arial" )) (text "oenB" (rect 256 75 283 86)(font "Arial" )) (line (pt 304 80)(pt 288 80)) ) (port (pt 304 96) (output) (text "phcfg_mode" (rect 0 0 62 11)(font "Arial" )) (text "phcfg_mode" (rect 214 91 276 102)(font "Arial" )) (line (pt 304 96)(pt 288 96)) ) (port (pt 304 112) (output) (text "phcfg_tst" (rect 0 0 46 11)(font "Arial" )) (text "phcfg_tst" (rect 221 107 267 118)(font "Arial" )) (line (pt 304 112)(pt 288 112)) ) (port (pt 304 128) (output) (text "phcfg_start[n_pll-1..0]" (rect 0 0 106 11)(font "Arial" )) (text "phcfg_start[n_pll-1..0]" (rect 123 123 229 134)(font "Arial" )) (line (pt 304 128)(pt 288 128)(line_width 3)) ) (port (pt 304 144) (output) (text "pllcfg_start[n_pll-1..0]" (rect 0 0 105 11)(font "Arial" )) (text "pllcfg_start[n_pll-1..0]" (rect 116 139 221 150)(font "Arial" )) (line (pt 304 144)(pt 288 144)(line_width 3)) ) (port (pt 304 160) (output) (text "pllrst_start[n_pll-1..0]" (rect 0 0 102 11)(font "Arial" )) (text "pllrst_start[n_pll-1..0]" (rect 116 155 218 166)(font "Arial" )) (line (pt 304 160)(pt 288 160)(line_width 3)) ) (port (pt 304 176) (output) (text "phcfg_updn" (rect 0 0 59 11)(font "Arial" )) (text "phcfg_updn" (rect 214 171 273 182)(font "Arial" )) (line (pt 304 176)(pt 288 176)) ) (port (pt 304 192) (output) (text "cnt_ind[4..0]" (rect 0 0 60 11)(font "Arial" )) (text "cnt_ind[4..0]" (rect 193 187 253 198)(font "Arial" )) (line (pt 304 192)(pt 288 192)(line_width 3)) ) (port (pt 304 208) (output) (text "cnt_phase[15..0]" (rect 0 0 81 11)(font "Arial" )) (text "cnt_phase[15..0]" (rect 172 203 253 214)(font "Arial" )) (line (pt 304 208)(pt 288 208)(line_width 3)) ) (port (pt 304 224) (output) (text "pllcfg_data[143..0]" (rect 0 0 90 11)(font "Arial" )) (text "pllcfg_data[143..0]" (rect 151 219 241 230)(font "Arial" )) (line (pt 304 224)(pt 288 224)(line_width 3)) ) (port (pt 304 240) (output) (text "auto_phcfg_smpls[15..0]" (rect 0 0 120 11)(font "Arial" )) (text "auto_phcfg_smpls[15..0]" (rect 123 235 243 246)(font "Arial" )) (line (pt 304 240)(pt 288 240)(line_width 3)) ) (port (pt 304 256) (output) (text "auto_phcfg_step[15..0]" (rect 0 0 112 11)(font "Arial" )) (text "auto_phcfg_step[15..0]" (rect 130 251 242 262)(font "Arial" )) (line (pt 304 256)(pt 288 256)(line_width 3)) ) (parameter "n_pll" "2" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 288 288)) ) (annotation_block (parameter)(rect 1632 1248 1816 1280)) ) (symbol (rect 1680 2440 2040 2808) (text "rx_path_top" (rect 5 0 65 11)(font "Arial" )) (text "inst28" (rect 8 352 38 363)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 15 11)(font "Arial" )) (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "reset_n" (rect 0 0 38 11)(font "Arial" )) (text "reset_n" (rect 21 43 59 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "test_ptrn_en" (rect 0 0 62 11)(font "Arial" )) (text "test_ptrn_en" (rect 21 59 83 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "sample_width[1..0]" (rect 0 0 92 11)(font "Arial" )) (text "sample_width[1..0]" (rect 21 75 113 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 0 96) (input) (text "mode" (rect 0 0 29 11)(font "Arial" )) (text "mode" (rect 21 91 50 102)(font "Arial" )) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "trxiqpulse" (rect 0 0 48 11)(font "Arial" )) (text "trxiqpulse" (rect 21 107 69 118)(font "Arial" )) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "ddr_en" (rect 0 0 36 11)(font "Arial" )) (text "ddr_en" (rect 21 123 57 134)(font "Arial" )) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "mimo_en" (rect 0 0 47 11)(font "Arial" )) (text "mimo_en" (rect 21 139 68 150)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "ch_en[1..0]" (rect 0 0 55 11)(font "Arial" )) (text "ch_en[1..0]" (rect 21 155 76 166)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 3)) ) (port (pt 0 176) (input) (text "fidm" (rect 0 0 22 11)(font "Arial" )) (text "fidm" (rect 21 171 43 182)(font "Arial" )) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "DIQ[iq_width-1..0]" (rect 0 0 88 11)(font "Arial" )) (text "DIQ[iq_width-1..0]" (rect 21 187 109 198)(font "Arial" )) (line (pt 0 192)(pt 16 192)(line_width 3)) ) (port (pt 0 208) (input) (text "fsync" (rect 0 0 27 11)(font "Arial" )) (text "fsync" (rect 21 203 48 214)(font "Arial" )) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "pct_fifo_wusedw[pct_buff_wrusedw_w-1..0]" (rect 0 0 212 11)(font "Arial" )) (text "pct_fifo_wusedw[pct_buff_wrusedw_w-1..0]" (rect 21 219 233 230)(font "Arial" )) (line (pt 0 224)(pt 16 224)(line_width 3)) ) (port (pt 0 240) (input) (text "clr_smpl_nr" (rect 0 0 60 11)(font "Arial" )) (text "clr_smpl_nr" (rect 21 235 81 246)(font "Arial" )) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "ld_smpl_nr" (rect 0 0 56 11)(font "Arial" )) (text "ld_smpl_nr" (rect 21 251 77 262)(font "Arial" )) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "smpl_nr_in[63..0]" (rect 0 0 84 11)(font "Arial" )) (text "smpl_nr_in[63..0]" (rect 21 267 105 278)(font "Arial" )) (line (pt 0 272)(pt 16 272)(line_width 3)) ) (port (pt 0 288) (input) (text "tx_pct_loss" (rect 0 0 56 11)(font "Arial" )) (text "tx_pct_loss" (rect 21 283 77 294)(font "Arial" )) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "tx_pct_loss_clr" (rect 0 0 75 11)(font "Arial" )) (text "tx_pct_loss_clr" (rect 21 299 96 310)(font "Arial" )) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "smpl_cmp_start" (rect 0 0 79 11)(font "Arial" )) (text "smpl_cmp_start" (rect 21 315 100 326)(font "Arial" )) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "smpl_cmp_length[15..0]" (rect 0 0 116 11)(font "Arial" )) (text "smpl_cmp_length[15..0]" (rect 21 331 137 342)(font "Arial" )) (line (pt 0 336)(pt 16 336)(line_width 3)) ) (port (pt 360 32) (output) (text "smpl_fifo_wrreq_out" (rect 0 0 100 11)(font "Arial" )) (text "smpl_fifo_wrreq_out" (rect 207 27 307 38)(font "Arial" )) (line (pt 360 32)(pt 344 32)) ) (port (pt 360 48) (output) (text "pct_fifo_wrreq" (rect 0 0 70 11)(font "Arial" )) (text "pct_fifo_wrreq" (rect 242 43 312 54)(font "Arial" )) (line (pt 360 48)(pt 344 48)) ) (port (pt 360 64) (output) (text "pct_fifo_wdata[63..0]" (rect 0 0 101 11)(font "Arial" )) (text "pct_fifo_wdata[63..0]" (rect 193 59 294 70)(font "Arial" )) (line (pt 360 64)(pt 344 64)(line_width 3)) ) (port (pt 360 80) (output) (text "smpl_nr_cnt[63..0]" (rect 0 0 90 11)(font "Arial" )) (text "smpl_nr_cnt[63..0]" (rect 214 75 304 86)(font "Arial" )) (line (pt 360 80)(pt 344 80)(line_width 3)) ) (port (pt 360 96) (output) (text "smpl_cmp_done" (rect 0 0 82 11)(font "Arial" )) (text "smpl_cmp_done" (rect 249 91 331 102)(font "Arial" )) (line (pt 360 96)(pt 344 96)) ) (port (pt 360 112) (output) (text "smpl_cmp_err" (rect 0 0 73 11)(font "Arial" )) (text "smpl_cmp_err" (rect 256 107 329 118)(font "Arial" )) (line (pt 360 112)(pt 344 112)) ) (parameter "dev_family" "Cyclone IV E" "" (type "PARAMETER_STRING") ) (parameter "iq_width" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "invert_input_clocks" "ON" "" (type "PARAMETER_STRING") ) (parameter "smpl_buff_rdusedw_w" "11" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "pct_buff_wrusedw_w" "12" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 344 352)) ) (annotation_block (parameter)(rect 2040 2312 2502 2438)) ) (symbol (rect 1368 2648 1432 2696) (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst49" (rect 3 37 33 48)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)) ) (drawing (line (pt 14 36)(pt 25 36)) (line (pt 14 13)(pt 25 13)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (symbol (rect 1312 2584 1376 2632) (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst50" (rect 3 37 36 50)(font "Intel Clear" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)) ) (drawing (line (pt 14 36)(pt 25 36)) (line (pt 14 13)(pt 25 13)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) (connector (text "L" (rect -1008 1635 -997 1643)(font "Arial" )(vertical)) (pt -992 1608) (pt -992 1656) ) (connector (pt 232 1840) (pt 336 1840) ) (connector (text "H" (rect -1048 1657 -1037 1665)(font "Arial" )(vertical)) (pt -1032 1624) (pt -1032 1680) ) (connector (text "fpga_reset" (rect -774 712 -720 723)(font "Arial" )) (pt -824 728) (pt -712 728) ) (connector (text "fpga_reset_n" (rect -638 712 -572 723)(font "Arial" )) (pt -664 728) (pt -552 728) ) (connector (text "BRDG_SPI_FPGA_SS" (rect -45 1856 69 1867)(font "Arial" )) (pt -48 1872) (pt 48 1872) ) (connector (text "rxpll_locked" (rect 728 1896 788 1907)(font "Arial" )) (pt 880 1912) (pt 720 1912) ) (connector (text "lte_mimo_en" (rect 732 1928 796 1939)(font "Arial" )) (pt 720 1944) (pt 880 1944) ) (connector (text "stream_rxen_mclk2rxpll" (rect 1043 1880 1161 1891)(font "Arial" )) (pt 1048 1896) (pt 1192 1896) ) (connector (text "lte_mimo_en_mclk2rxpll" (rect 1059 1896 1178 1907)(font "Arial" )) (pt 1192 1912) (pt 1048 1912) ) (connector (text "mux_spi_miso" (rect -37 1824 34 1835)(font "Arial" )) (pt -48 1840) (pt 48 1840) ) (connector (text "mclk1tx_locked" (rect -86 608 -10 619)(font "Arial" )) (pt -104 624) (pt 16 624) ) (connector (text "rxpll_locked" (rect -88 624 -28 635)(font "Arial" )) (pt -104 640) (pt 16 640) ) (connector (text "fpga_alive" (rect -84 640 -33 651)(font "Arial" )) (pt -104 656) (pt 16 656) ) (connector (text "lmlclk" (rect 2368 4360 2397 4371)(font "Arial" )) (pt 2320 4376) (pt 2472 4376) ) (connector (text "mclk1tx_locked" (rect 2369 4376 2445 4387)(font "Arial" )) (pt 2320 4392) (pt 2472 4392) ) (connector (text "ddmux_h[12..0]" (rect 2395 4392 2471 4403)(font "Arial" )) (pt 2320 4408) (pt 2472 4408) (bus) ) (connector (text "ddmux_l[12..0]" (rect 2384 4408 2457 4419)(font "Arial" )) (pt 2320 4424) (pt 2472 4424) (bus) ) (connector (text "txiq[11..0]" (rect 2781 4360 2829 4371)(font "Arial" )) (pt 2720 4376) (pt 2856 4376) (bus) ) (connector (text "txiqsel" (rect 2768 4376 2801 4387)(font "Arial" )) (pt 2720 4392) (pt 2856 4392) ) (connector (text "ctr_LMS_SPI_CS" (rect -43 1872 45 1883)(font "Arial" )) (pt -48 1888) (pt 48 1888) ) (connector (pt -120 4616) (pt -176 4616) ) (connector (pt -120 4640) (pt -256 4640) ) (connector (pt -256 4640) (pt -256 4616) ) (connector (pt -224 4616) (pt -256 4616) ) (connector (pt 352 4624) (pt 320 4624) ) (connector (text "GPIO[0]" (rect -305 4600 -265 4611)(font "Arial" )) (pt -256 4616) (pt -312 4616) ) (connector (pt 352 4648) (pt 248 4648) ) (connector (pt 248 4648) (pt 248 4624) ) (connector (pt 248 4624) (pt 272 4624) ) (connector (text "GPIO[4]" (rect 210 4608 250 4619)(font "Arial" )) (pt 200 4624) (pt 248 4624) ) (connector (text "GPIO[1]" (rect -175 4744 -135 4755)(font "Arial" )) (pt -120 4760) (pt -200 4760) ) (connector (text "GPIO[2]" (rect -171 4768 -131 4779)(font "Arial" )) (pt -120 4784) (pt -200 4784) ) (connector (text "GPIO[5]" (rect 306 4752 346 4763)(font "Arial" )) (pt 352 4768) (pt 264 4768) ) (connector (text "GPIO[6]" (rect 304 4776 344 4787)(font "Arial" )) (pt 352 4792) (pt 264 4792) ) (connector (text "ddr2_1_phy_clk" (rect 1303 4680 1382 4691)(font "Arial" )) (pt 1256 4696) (pt 1440 4696) ) (connector (pt 1440 4552) (pt 1256 4552) (bus) ) (connector (pt 1440 4568) (pt 1256 4568) (bus) ) (connector (pt 1440 4584) (pt 1256 4584) (bus) ) (connector (pt 1440 4600) (pt 1256 4600) (bus) ) (connector (pt 1440 4616) (pt 1256 4616) (bus) ) (connector (pt 1440 4632) (pt 1256 4632) ) (connector (pt 1440 4648) (pt 1256 4648) ) (connector (pt 1440 4664) (pt 1256 4664) ) (connector (pt 1440 4680) (pt 1256 4680) (bus) ) (connector (pt 1440 4712) (pt 1256 4712) (bus) ) (connector (pt 1440 4728) (pt 1256 4728) (bus) ) (connector (pt 1440 4744) (pt 1256 4744) (bus) ) (connector (pt 1440 4760) (pt 1256 4760) (bus) ) (connector (text "dd_iq_h[15..0]" (rect 1300 4504 1370 4515)(font "Arial" )) (pt 1256 4520) (pt 1424 4520) (bus) ) (connector (text "dd_iq_l[15..0]" (rect 1299 4520 1365 4531)(font "Arial" )) (pt 1256 4536) (pt 1424 4536) (bus) ) (connector (text "wfm_player_wrusedw[11..0]" (rect 1300 4488 1436 4499)(font "Arial" )) (pt 1256 4504) (pt 1424 4504) (bus) ) (connector (text "clk_fpga2" (rect 773 4488 821 4499)(font "Arial" )) (pt 744 4504) (pt 888 4504) ) (connector (text "fx3_clk" (rect 773 4504 808 4515)(font "Arial" )) (pt 888 4520) (pt 744 4520) ) (connector (text "ddr2_1_phy_clk" (rect 770 4520 849 4531)(font "Arial" )) (pt 888 4536) (pt 744 4536) ) (connector (text "wfm_data[31..0]" (rect 773 4568 852 4579)(font "Arial" )) (pt 888 4584) (pt 744 4584) (bus) ) (connector (text "wfm_wr" (rect 769 4584 810 4595)(font "Arial" )) (pt 744 4600) (pt 888 4600) ) (connector (text "L" (rect 775 4616 783 4627)(font "Arial" )) (pt 744 4632) (pt 888 4632) ) (connector (text "fpga_reset_n" (rect 769 4472 835 4483)(font "Arial" )) (pt 888 4488) (pt 744 4488) ) (connector (text "wfm_player_rdy" (rect 1293 4472 1372 4483)(font "Arial" )) (pt 1256 4488) (pt 1424 4488) ) (connector (text "wfm_smpl_width[1..0]" (rect 761 4600 867 4611)(font "Arial" )) (pt 744 4616) (pt 888 4616) (bus) ) (connector (text "wfm_ch_en[1..0]" (rect 777 4632 858 4643)(font "Arial" )) (pt 744 4648) (pt 888 4648) (bus) ) (connector (text "H" (rect 774 4648 782 4659)(font "Arial" )) (pt 744 4664) (pt 888 4664) ) (connector (text "wfm_play" (rect 780 4552 828 4563)(font "Arial" )) (pt 888 4568) (pt 744 4568) ) (connector (text "wfm_load" (rect 790 4536 838 4547)(font "Arial" )) (pt 888 4552) (pt 744 4552) ) (connector (text "ddr2_1_pnf_per_bit_persisit[31..0]" (rect 1260 4776 1427 4787)(font "Arial" )) (pt 1256 4792) (pt 1416 4792) (bus) ) (connector (text "ddr2_1_test_pass" (rect 1276 4792 1365 4803)(font "Arial" )) (pt 1256 4808) (pt 1416 4808) ) (connector (text "ddr2_1_test_fail" (rect 1300 4808 1380 4819)(font "Arial" )) (pt 1256 4824) (pt 1416 4824) ) (connector (text "ddr2_1_test_complete" (rect 1287 4824 1397 4835)(font "Arial" )) (pt 1256 4840) (pt 1416 4840) ) (connector (text "lmlclk" (rect 771 4664 800 4675)(font "Arial" )) (pt 888 4680) (pt 744 4680) ) (connector (text "test_en[4]" (rect 826 4680 874 4691)(font "Arial" )) (pt 744 4696) (pt 888 4696) ) (connector (text "test_inject_error[4]" (rect 773 4696 865 4707)(font "Arial" )) (pt 888 4712) (pt 744 4712) ) (connector (text "FPGA_LED1_CTRL[2..0]" (rect -100 656 23 667)(font "Arial" )) (pt -104 672) (pt 16 672) (bus) ) (connector (text "fpga_reset_n" (rect -67 776 -1 787)(font "Arial" )) (pt -112 792) (pt 16 792) ) (connector (pt 16 808) (pt -112 808) ) (connector (text "FPGA_LED2_CTRL[2..0]" (rect -108 840 15 851)(font "Arial" )) (pt -112 856) (pt 16 856) (bus) ) (connector (pt 184 640) (pt 232 640) ) (connector (pt 184 624) (pt 232 624) ) (connector (text "HW_VER[3..0]" (rect -84 968 -13 979)(font "Arial" )) (pt -112 984) (pt 8 984) (bus) ) (connector (text "FX3_LED_CTRL[2..0]" (rect -94 984 13 995)(font "Arial" )) (pt -112 1000) (pt 8 1000) (bus) ) (connector (text "HW_VER[3..0]" (rect -764 5152 -693 5163)(font "Arial" )) (pt -784 5168) (pt -528 5168) (bus) ) (connector (text "BOM_VER[2..0]" (rect -758 5168 -679 5179)(font "Arial" )) (pt -784 5184) (pt -528 5184) (bus) ) (connector (text "fx3_clk" (rect -720 5424 -685 5435)(font "Arial" )) (pt -768 5440) (pt -616 5440) ) (connector (text "fpga_reset_n" (rect -726 5440 -660 5451)(font "Arial" )) (pt -768 5456) (pt -616 5456) ) (connector (text "test_en[3..0]" (rect -730 5456 -669 5467)(font "Arial" )) (pt -616 5472) (pt -768 5472) (bus) ) (connector (text "SI_CLK0" (rect -730 5488 -684 5499)(font "Arial" )) (pt -616 5504) (pt -768 5504) ) (connector (text "SI_CLK1" (rect -731 5504 -687 5515)(font "Arial" )) (pt -616 5520) (pt -768 5520) ) (connector (text "SI_CLK2" (rect -726 5520 -680 5531)(font "Arial" )) (pt -616 5536) (pt -768 5536) ) (connector (text "test_inject_error[3..0]" (rect -739 5472 -636 5483)(font "Arial" )) (pt -616 5488) (pt -768 5488) (bus) ) (connector (text "SI_CLK3" (rect -730 5536 -684 5547)(font "Arial" )) (pt -768 5552) (pt -616 5552) ) (connector (text "SI_CLK5" (rect -725 5552 -679 5563)(font "Arial" )) (pt -768 5568) (pt -616 5568) ) (connector (text "SI_CLK6" (rect -729 5568 -683 5579)(font "Arial" )) (pt -768 5584) (pt -616 5584) ) (connector (text "SI_CLK7" (rect -728 5584 -682 5595)(font "Arial" )) (pt -768 5600) (pt -616 5600) ) (connector (text "LMK_CLK" (rect -724 5600 -671 5611)(font "Arial" )) (pt -768 5616) (pt -616 5616) ) (connector (text "clk_test_cmplt[3..0]" (rect -308 5424 -214 5435)(font "Arial" )) (pt -336 5440) (pt -232 5440) (bus) ) (connector (text "clk_test_rez[3..0]" (rect -312 5440 -229 5451)(font "Arial" )) (pt -336 5456) (pt -232 5456) (bus) ) (connector (text "ADF_MUXOUT" (rect -733 5616 -656 5627)(font "Arial" )) (pt -768 5632) (pt -616 5632) ) (connector (text "tstcfg_sdout" (rect 510 5312 571 5323)(font "Arial" )) (pt 448 5328) (pt 600 5328) ) (connector (text "test_en[5..0]" (rect 482 5360 543 5371)(font "Arial" )) (pt 448 5376) (pt 584 5376) (bus) ) (connector (text "test_inject_error[5..0]" (rect 490 5376 593 5387)(font "Arial" )) (pt 448 5392) (pt 584 5392) (bus) ) (connector (text "L,L,L,L,L,L,L,L,H,H" (rect 22 5312 115 5323)(font "Arial" )) (pt 8 5328) (pt 128 5328) (bus) ) (connector (text "H" (rect 25 5328 33 5339)(font "Arial" )) (pt 8 5344) (pt 128 5344) ) (connector (text "fpga_reset_n" (rect 17 5392 83 5403)(font "Arial" )) (pt 8 5408) (pt 128 5408) ) (connector (text "fpga_reset_n" (rect 19 5408 85 5419)(font "Arial" )) (pt 8 5424) (pt 128 5424) ) (connector (text "ddr2_1_test_fail,ddr2_1_test_pass,ddr2_1_test_complete" (rect -120 5616 162 5627)(font "Arial" )) (pt -120 5632) (pt 128 5632) (bus) ) (connector (text "ddr2_1_pnf_per_bit_persisit[31..0]" (rect -84 5632 83 5643)(font "Arial" )) (pt -120 5648) (pt 128 5648) (bus) ) (connector (pt -336 5472) (pt 128 5472) (bus) ) (connector (pt -336 5488) (pt 128 5488) (bus) ) (connector (pt -336 5504) (pt 128 5504) (bus) ) (connector (pt -336 5520) (pt 128 5520) (bus) ) (connector (pt -336 5536) (pt 128 5536) (bus) ) (connector (pt -336 5552) (pt 128 5552) (bus) ) (connector (pt -336 5568) (pt 128 5568) (bus) ) (connector (pt -336 5584) (pt 128 5584) (bus) ) (connector (pt -336 5600) (pt 128 5600) (bus) ) (connector (pt -336 5616) (pt 128 5616) (bus) ) (connector (text "stream_rxen_fx3clk" (rect 753 1912 849 1923)(font "Arial" )) (pt 880 1928) (pt 720 1928) ) (connector (text "ddr2_2_test_pass,ddr2_1_test_pass,clk_test_rez[3..0]" (rect -104 5440 160 5451)(font "Arial" )) (pt -120 5456) (pt 128 5456) (bus) ) (connector (text "ddr2_2_test_complete,ddr2_1_test_complete,clk_test_cmplt[3..0]" (rect -104 5424 214 5435)(font "Arial" )) (pt -120 5440) (pt 128 5440) (bus) ) (connector (text "ddr2_2_test_fail,ddr2_2_test_pass,ddr2_2_test_complete" (rect -120 5648 162 5659)(font "Arial" )) (pt -120 5664) (pt 128 5664) (bus) ) (connector (text "ddr2_2_pnf_per_bit_persisit[31..0]" (rect -84 5664 83 5675)(font "Arial" )) (pt -120 5680) (pt 128 5680) (bus) ) (connector (text "spi_1_enables[1]" (rect 190 2352 273 2363)(font "Arial" )) (pt 344 2368) (pt 144 2368) (bus) ) (connector (text "spi_1_enables[0]" (rect 190 2424 273 2435)(font "Arial" )) (pt 296 2440) (pt 144 2440) (bus) ) (connector (text "spi_1_enables[0]" (rect -98 808 -15 819)(font "Arial" )) (pt -112 824) (pt 16 824) (bus) ) (connector (text "spi_1_enables[1]" (rect -98 824 -15 835)(font "Arial" )) (pt -112 840) (pt 16 840) (bus) ) (connector (text "L" (rect -38 1840 -30 1851)(font "Arial" )) (pt -48 1856) (pt 48 1856) ) (connector (text "tx_outfifo_rdy_fx3_clk" (rect -2313 4000 -2205 4011)(font "Arial" )) (pt -2352 4016) (pt -2200 4016) ) (connector (text "stream_rxen_fx3clk_pulse" (rect -1944 3816 -1815 3827)(font "Arial" )) (pt -1992 3832) (pt -1824 3832) ) (connector (text "fx3_clk" (rect -2624 3848 -2589 3859)(font "Arial" )) (pt -2688 3864) (pt -2536 3864) ) (connector (text "fpgapll_locked" (rect -2652 3864 -2581 3875)(font "Arial" )) (pt -2688 3880) (pt -2536 3880) ) (connector (text "stream_rxen" (rect -2623 3880 -2561 3891)(font "Arial" )) (pt -2688 3896) (pt -2536 3896) ) (connector (text "fx3_clk" (rect -2624 4000 -2589 4011)(font "Arial" )) (pt -2688 4016) (pt -2536 4016) ) (connector (text "fpgapll_locked" (rect -2652 4016 -2581 4027)(font "Arial" )) (pt -2688 4032) (pt -2536 4032) ) (connector (text "tx_outfifo_rdy" (rect -2659 4032 -2592 4043)(font "Arial" )) (pt -2688 4048) (pt -2536 4048) ) (connector (text "fx3_clk" (rect -2280 3816 -2245 3827)(font "Arial" )) (pt -2344 3832) (pt -2192 3832) ) (connector (text "fpgapll_locked" (rect -2308 3832 -2237 3843)(font "Arial" )) (pt -2344 3848) (pt -2192 3848) ) (connector (text "stream_rxen_fx3clk" (rect -2319 3848 -2223 3859)(font "Arial" )) (pt -2352 3864) (pt -2192 3864) ) (connector (pt -200 968) (pt -200 952) ) (connector (pt 184 776) (pt 232 776) ) (connector (pt 184 792) (pt 232 792) ) (connector (pt 200 952) (pt 232 952) ) (connector (pt 200 968) (pt 232 968) ) (connector (text "FX3_busy" (rect -253 936 -203 947)(font "Arial" )) (pt -304 952) (pt -200 952) ) (connector (pt -200 952) (pt -168 952) ) (connector (pt -120 952) (pt 8 952) ) (connector (pt 8 968) (pt -200 968) ) (connector (text "clk_fpga2" (rect -638 768 -590 779)(font "Arial" )) (pt -664 784) (pt -552 784) ) (connector (pt -824 784) (pt -712 784) ) (connector (text "MCLK1TX" (rect -798 1072 -745 1083)(font "Arial" )) (pt -824 1088) (pt -736 1088) ) (connector (text "MCLK2RX" (rect -796 1040 -741 1051)(font "Arial" )) (pt -824 1056) (pt -736 1056) ) (connector (text "FX3_busy" (rect 227 1160 277 1171)(font "Arial" )) (pt 176 1176) (pt 296 1176) ) (connector (text "fpga_alive" (rect 156 1312 207 1323)(font "Arial" )) (pt 136 1328) (pt 256 1328) ) (connector (text "fpga_reset_n" (rect -75 1312 -9 1323)(font "Arial" )) (pt -96 1328) (pt 8 1328) ) (connector (text "fx3_clk" (rect -72 1160 -37 1171)(font "Arial" )) (pt -96 1176) (pt 8 1176) ) (connector (text "fpga_reset_n" (rect -78 1176 -12 1187)(font "Arial" )) (pt -96 1192) (pt 8 1192) ) (connector (text "mux_spi_miso" (rect 379 2216 450 2227)(font "Arial" )) (pt 360 2232) (pt 456 2232) ) (connector (pt 272 2216) (pt 272 2224) ) (connector (pt 272 2248) (pt 272 2240) ) (connector (pt 272 2240) (pt 296 2240) ) (connector (pt 272 2224) (pt 296 2224) ) (connector (text "tstcfg_sdout" (rect 190 2232 251 2243)(font "Arial" )) (pt 144 2248) (pt 272 2248) ) (connector (text "pllcfg_sdoutA" (rect 195 2216 263 2227)(font "Arial" )) (pt 144 2232) (pt 296 2232) ) (connector (text "L" (rect 183 2200 191 2211)(font "Arial" )) (pt 144 2216) (pt 272 2216) ) (connector (text "wfm_load" (rect -132 4048 -84 4059)(font "Arial" )) (pt -24 4064) (pt -176 4064) ) (connector (text "wfm_player_wrusedw[11..0]" (rect -140 4096 -4 4107)(font "Arial" )) (pt -176 4112) (pt -24 4112) (bus) ) (connector (text "wfm_player_rdy" (rect -155 4080 -76 4091)(font "Arial" )) (pt -176 4096) (pt -24 4096) ) (connector (text "tx_outfifo_rdy" (rect -148 4064 -81 4075)(font "Arial" )) (pt -176 4080) (pt -24 4080) ) (connector (text "EP01_data_valid" (rect -101 4032 -17 4043)(font "Arial" )) (pt -176 4048) (pt -24 4048) ) (connector (text "EP01_data[fx3_bus_width-1..0]" (rect -145 4016 8 4027)(font "Arial" )) (pt -176 4032) (pt -24 4032) (bus) ) (connector (text "fpga_reset_n" (rect -110 4000 -44 4011)(font "Arial" )) (pt -176 4016) (pt -24 4016) ) (connector (text "fx3_clk" (rect -136 3984 -101 3995)(font "Arial" )) (pt -24 4000) (pt -176 4000) ) (connector (text "stream_sw_rdy" (rect 415 3984 491 3995)(font "Arial" )) (pt 384 4000) (pt 528 4000) ) (connector (text "tx_outfifo_wrreq" (rect 401 4000 481 4011)(font "Arial" )) (pt 384 4016) (pt 528 4016) ) (connector (text "tx_outfifo_data[31..0]" (rect 404 4016 506 4027)(font "Arial" )) (pt 384 4032) (pt 528 4032) (bus) ) (connector (text "wfm_wr" (rect 422 4032 463 4043)(font "Arial" )) (pt 384 4048) (pt 528 4048) ) (connector (text "wfm_data[31..0]" (rect 410 4048 489 4059)(font "Arial" )) (pt 384 4064) (pt 528 4064) (bus) ) (connector (text "clk_fpga2" (rect -78 1328 -30 1339)(font "Arial" )) (pt -96 1344) (pt 8 1344) ) (connector (pt -216 1200) (pt -216 1184) ) (connector (pt -208 1200) (pt -216 1200) ) (connector (text "FX3_slave_busy" (rect -315 1168 -233 1179)(font "Arial" )) (pt -216 1184) (pt -336 1184) ) (connector (pt -208 1216) (pt -216 1216) ) (connector (text "nios_leds[0]" (rect -316 1192 -257 1203)(font "Arial" )) (pt -208 1208) (pt -336 1208) ) (connector (pt -216 1216) (pt -216 1232) ) (connector (pt -144 1208) (pt 8 1208) ) (connector (text "exfifo_if_rd" (rect -1648 3112 -1593 3123)(font "Arial" )) (pt -1672 3128) (pt -1552 3128) ) (connector (text "exfifo_of_wr" (rect -1656 3144 -1594 3155)(font "Arial" )) (pt -1672 3160) (pt -1552 3160) ) (connector (text "exfifo_rst" (rect -1656 3160 -1610 3171)(font "Arial" )) (pt -1672 3176) (pt -1552 3176) ) (connector (text "spi_enables[4..0]" (rect -1656 3240 -1573 3251)(font "Arial" )) (pt -1672 3256) (pt -1552 3256) (bus) ) (connector (text "spi_1_enables[1..0]" (rect -1656 3288 -1561 3299)(font "Arial" )) (pt -1552 3304) (pt -1672 3304) (bus) ) (connector (text "fx3_clk" (rect -2016 3112 -1981 3123)(font "Arial" )) (pt -2048 3128) (pt -1928 3128) ) (connector (text "exfifo_if_rdempty" (rect -2020 3144 -1936 3155)(font "Arial" )) (pt -2048 3160) (pt -1928 3160) ) (connector (text "exfifo_of_wrfull" (rect -2020 3160 -1945 3171)(font "Arial" )) (pt -2048 3176) (pt -1928 3176) ) (connector (text "spi_lms_miso" (rect -2024 3176 -1956 3187)(font "Arial" )) (pt -2048 3192) (pt -1928 3192) ) (connector (text "L,L,L,L,L,L,L,L" (rect -2024 3192 -1953 3203)(font "Arial" )) (pt -2048 3208) (pt -1928 3208) (bus) ) (connector (text "exfifo_if_d[31..0]" (rect -2015 3128 -1934 3139)(font "Arial" )) (pt -2048 3144) (pt -1928 3144) (bus) ) (connector (text "nios_leds[7..0]" (rect -1659 3176 -1588 3187)(font "Arial" )) (pt -1672 3192) (pt -1552 3192) (bus) ) (connector (pt -1672 3272) (pt -1424 3272) ) (connector (pt -1672 3320) (pt -1424 3320) ) (connector (pt -1672 3336) (pt -1424 3336) ) (connector (text "exfifo_of_d[31..0]" (rect -1655 3128 -1571 3139)(font "Arial" )) (pt -1672 3144) (pt -1552 3144) (bus) ) (connector (text "fadr[4..0]" (rect -109 3472 -66 3483)(font "Arial" )) (pt -160 3488) (pt -8 3488) (bus) ) (connector (text "EP01_data[fx3_bus_width-1..0]" (rect -137 3600 16 3611)(font "Arial" )) (pt -160 3616) (pt -16 3616) (bus) ) (connector (text "EP01_data_valid" (rect -141 3616 -57 3627)(font "Arial" )) (pt -160 3632) (pt -16 3632) ) (connector (text "fx3_outfifo_wfull" (rect -143 3632 -63 3643)(font "Arial" )) (pt -160 3648) (pt -16 3648) ) (connector (text "fx3_outfifo_wrusedw[fx3_outfifo_wrsize-1..0]" (rect -140 3648 77 3659)(font "Arial" )) (pt -160 3664) (pt -16 3664) (bus) ) (connector (text "exfifo_if_d[31..0]" (rect -143 3664 -62 3675)(font "Arial" )) (pt -160 3680) (pt -16 3680) (bus) ) (connector (text "exfifo_if_rdempty" (rect -140 3680 -56 3691)(font "Arial" )) (pt -160 3696) (pt -16 3696) ) (connector (text "exfifo_of_wrfull" (rect -140 3696 -65 3707)(font "Arial" )) (pt -160 3712) (pt -16 3712) ) (connector (text "FX3_slave_busy" (rect -99 3712 -17 3723)(font "Arial" )) (pt -160 3728) (pt -16 3728) ) (connector (text "exfifo_rst" (rect -856 3696 -810 3707)(font "Arial" )) (pt -904 3712) (pt -752 3712) ) (connector (text "fpga_reset_n" (rect -670 3424 -604 3435)(font "Arial" )) (pt -704 3440) (pt -552 3440) ) (connector (text "H" (rect -660 3456 -652 3467)(font "Arial" )) (pt -704 3472) (pt -552 3472) ) (connector (pt -712 3488) (pt -552 3488) ) (connector (pt -712 3504) (pt -552 3504) ) (connector (text "stream_sw_rdy" (rect -673 3568 -597 3579)(font "Arial" )) (pt -704 3584) (pt -552 3584) ) (connector (text "stream_rxen_mclk2rxpll" (rect -680 3600 -562 3611)(font "Arial" )) (pt -704 3616) (pt -552 3616) ) (connector (text "rxpct_outfifowr" (rect -680 3616 -606 3627)(font "Arial" )) (pt -704 3632) (pt -552 3632) ) (connector (text "rx_pct_data[63..0]" (rect -677 3632 -589 3643)(font "Arial" )) (pt -704 3648) (pt -552 3648) (bus) ) (connector (text "fx3_clk" (rect -680 3648 -645 3659)(font "Arial" )) (pt -704 3664) (pt -552 3664) ) (connector (text "exfifo_if_rd" (rect -680 3664 -625 3675)(font "Arial" )) (pt -704 3680) (pt -552 3680) ) (connector (text "fx3_clk" (rect -680 3680 -645 3691)(font "Arial" )) (pt -704 3696) (pt -552 3696) ) (connector (pt -704 3712) (pt -552 3712) ) (connector (text "exfifo_of_wr" (rect -680 3712 -618 3723)(font "Arial" )) (pt -704 3728) (pt -552 3728) ) (connector (text "exfifo_of_d[31..0]" (rect -679 3728 -595 3739)(font "Arial" )) (pt -704 3744) (pt -552 3744) (bus) ) (connector (pt -160 3456) (pt -8 3456) ) (connector (pt -160 3552) (pt -8 3552) ) (connector (pt -160 3536) (pt -8 3536) ) (connector (pt -160 3520) (pt -8 3520) ) (connector (pt -160 3504) (pt -8 3504) ) (connector (pt -160 3472) (pt -8 3472) (bus) ) (connector (text "FPGA_SPI1_SCLK" (rect -1603 3272 -1506 3283)(font "Arial" )) (pt -1672 3288) (pt -1424 3288) ) (connector (text "FPGA_SPI1_SCLK" (rect -94 760 3 771)(font "Arial" )) (pt 16 776) (pt -112 776) ) (connector (text "fadr[0]" (rect 272 3344 303 3355)(font "Arial" )) (pt 256 3360) (pt 344 3360) ) (connector (text "fadr[1]" (rect 275 3360 306 3371)(font "Arial" )) (pt 344 3376) (pt 256 3376) ) (connector (text "FX3_MCU_BUSY" (rect -752 3840 -662 3851)(font "Arial" )) (pt -768 3856) (pt -680 3856) ) (connector (text "FX3_MCU_BUSY" (rect -315 1216 -225 1227)(font "Arial" )) (pt -216 1232) (pt -336 1232) ) (connector (text "spi_lms_external_MOSI" (rect 15 5344 131 5355)(font "Arial" )) (pt 8 5360) (pt 128 5360) ) (connector (text "spi_lms_external_SCLK" (rect 16 5360 136 5371)(font "Arial" )) (pt 8 5376) (pt 128 5376) ) (connector (text "spi_enables[1]" (rect 14 5376 85 5387)(font "Arial" )) (pt 8 5392) (pt 128 5392) ) (connector (pt 984 5312) (pt 1008 5312) ) (connector (pt 984 5280) (pt 984 5312) ) (connector (text "test_inject_error[5]" (rect 925 5328 1017 5339)(font "Arial" )) (pt 1008 5344) (pt 896 5344) ) (connector (text "test_en[5]" (rect 922 5264 970 5275)(font "Arial" )) (pt 896 5280) (pt 984 5280) ) (connector (pt 984 5280) (pt 1008 5280) ) (connector (text "ddr2_2_test_complete" (rect 1295 5536 1405 5547)(font "Arial" )) (pt 1272 5552) (pt 1456 5552) ) (connector (text "ddr2_2_test_fail" (rect 1292 5520 1372 5531)(font "Arial" )) (pt 1456 5536) (pt 1272 5536) ) (connector (text "ddr2_2_test_pass" (rect 1292 5504 1381 5515)(font "Arial" )) (pt 1456 5520) (pt 1272 5520) ) (connector (text "ddr2_2_pnf_per_bit_persisit[31..0]" (rect 1292 5488 1459 5499)(font "Arial" )) (pt 1456 5504) (pt 1272 5504) (bus) ) (connector (text "SI_CLK1" (rect 921 5280 965 5291)(font "Arial" )) (pt 896 5296) (pt 1008 5296) ) (connector (pt 1272 5280) (pt 1456 5280) (bus) ) (connector (pt 1272 5296) (pt 1456 5296) (bus) ) (connector (pt 1272 5312) (pt 1456 5312) (bus) ) (connector (pt 1272 5328) (pt 1456 5328) (bus) ) (connector (pt 1272 5344) (pt 1456 5344) (bus) ) (connector (pt 1272 5360) (pt 1456 5360) ) (connector (pt 1272 5376) (pt 1456 5376) ) (connector (pt 1272 5392) (pt 1456 5392) ) (connector (pt 1272 5408) (pt 1456 5408) (bus) ) (connector (pt 1272 5424) (pt 1456 5424) (bus) ) (connector (pt 1272 5440) (pt 1456 5440) (bus) ) (connector (pt 1272 5456) (pt 1456 5456) (bus) ) (connector (pt 1272 5472) (pt 1456 5472) (bus) ) (connector (text "lms_ctr_gpio[3..0]" (rect -1608 3192 -1521 3203)(font "Arial" )) (pt -1672 3208) (pt -1552 3208) (bus) ) (connector (text "fx3_clk" (rect -672 3440 -637 3451)(font "Arial" )) (pt -552 3456) (pt -712 3456) ) (connector (text "spi_lms_miso" (rect -2040 3368 -1972 3379)(font "Arial" )) (pt -2096 3384) (pt -1960 3384) ) (connector (text "fpga_internal_spi" (rect -2250 3376 -2166 3387)(font "Arial" )) (pt -2264 3392) (pt -2160 3392) ) (connector (pt -2272 3376) (pt -2160 3376) ) (connector (text "lms_ctr_gpio[0]" (rect 96 2704 171 2715)(font "Arial" )) (pt 184 2720) (pt 56 2720) ) (connector (pt 248 2712) (pt 256 2712) ) (connector (text "lms1_reset" (rect 92 2688 147 2699)(font "Arial" )) (pt 56 2704) (pt 184 2704) ) (connector (text "ddmux_h[12..0]" (rect 2803 4616 2879 4627)(font "Arial" )) (pt 2760 4632) (pt 2880 4632) (bus) ) (connector (text "ddmux_l[12..0]" (rect 2792 4632 2865 4643)(font "Arial" )) (pt 2760 4648) (pt 2880 4648) (bus) ) (connector (text "mclk1tx_locked" (rect 2385 4632 2461 4643)(font "Arial" )) (pt 2368 4648) (pt 2488 4648) ) (connector (text "lmlclk" (rect 2384 4616 2413 4627)(font "Arial" )) (pt 2368 4632) (pt 2488 4632) ) (connector (text "L" (rect 2410 4664 2418 4675)(font "Arial" )) (pt 2488 4680) (pt 2368 4680) ) (connector (text "tx_ptrn_en" (rect 2383 4648 2437 4659)(font "Arial" )) (pt 2368 4664) (pt 2488 4664) ) (connector (text "TX_TST_I[15..0]" (rect 484 5392 565 5403)(font "Arial" )) (pt 448 5408) (pt 584 5408) (bus) ) (connector (text "TX_TST_Q[15..0]" (rect 480 5408 566 5419)(font "Arial" )) (pt 448 5424) (pt 584 5424) (bus) ) (connector (text "TX_TST_I[15..0]" (rect 2388 4680 2469 4691)(font "Arial" )) (pt 2368 4696) (pt 2488 4696) (bus) ) (connector (text "TX_TST_Q[15..0]" (rect 2384 4696 2470 4707)(font "Arial" )) (pt 2368 4712) (pt 2488 4712) (bus) ) (connector (text "fpga_internal_spi" (rect -2322 3168 -2238 3179)(font "Arial" )) (pt -2336 3184) (pt -2232 3184) ) (connector (text "pllcfg_sdoutA" (rect -2549 3160 -2481 3171)(font "Arial" )) (pt -2568 3176) (pt -2400 3176) ) (connector (text "periphcfg_sdout" (rect -2514 3192 -2434 3203)(font "Arial" )) (pt -2568 3208) (pt -2400 3208) ) (connector (text "internal_spi_miso" (rect -2545 3176 -2458 3187)(font "Arial" )) (pt -2568 3192) (pt -2400 3192) ) (connector (text "tstcfg_sdout" (rect -2538 3144 -2477 3155)(font "Arial" )) (pt -2568 3160) (pt -2400 3160) ) (connector (pt 200 1552) (pt 304 1552) (bus) ) (connector (text "BOARD_GPIO_RD[7..0]" (rect 206 1552 325 1563)(font "Arial" )) (pt 200 1568) (pt 304 1568) (bus) ) (connector (text "BOARD_GPIO_VAL[7..0]" (rect -214 1600 -89 1611)(font "Arial" )) (pt -392 1616) (pt -88 1616) (bus) ) (connector (text "H,H,H,H,H,H,H,H" (rect -209 1552 -125 1563)(font "Arial" )) (pt -392 1568) (pt -88 1568) (bus) ) (connector (text "BOARD_GPIO_DIR[7..0]" (rect -211 1568 -89 1579)(font "Arial" )) (pt -392 1584) (pt -88 1584) (bus) ) (connector (pt -600 1400) (pt -600 1432) ) (connector (text "PERIPH_OUTPUT_OVRD_0[0]" (rect -775 1416 -620 1427)(font "Arial" )) (pt -600 1432) (pt -816 1432) ) (connector (text "PERIPH_OUTPUT_VAL_0[0]" (rect -793 1360 -650 1371)(font "Arial" )) (pt -816 1376) (pt -656 1376) ) (connector (pt -816 1344) (pt -656 1344) ) (connector (pt -544 1360) (pt -496 1360) ) (connector (text "BOARD_GPIO_OVRD[7..0]" (rect -211 1536 -76 1547)(font "Arial" )) (pt -392 1552) (pt -88 1552) (bus) ) (connector (text "tx_path_h[12..0]" (rect 2393 4760 2472 4771)(font "Arial" )) (pt 2368 4776) (pt 2488 4776) (bus) ) (connector (text "tx_path_l[12..0]" (rect 2396 4776 2471 4787)(font "Arial" )) (pt 2368 4792) (pt 2488 4792) (bus) ) (connector (text "dd_iq_h[12..0]" (rect 2388 4792 2458 4803)(font "Arial" )) (pt 2368 4808) (pt 2488 4808) (bus) ) (connector (text "dd_iq_l[12..0]" (rect 2395 4808 2461 4819)(font "Arial" )) (pt 2368 4824) (pt 2488 4824) (bus) ) (connector (text "wfm_play" (rect 2380 4744 2428 4755)(font "Arial" )) (pt 2368 4760) (pt 2488 4760) ) (connector (text "H" (rect 2442 4728 2450 4739)(font "Arial" )) (pt 2488 4744) (pt 2368 4744) ) (connector (text "tx_cnt_en" (rect 2381 4712 2430 4723)(font "Arial" )) (pt 2368 4728) (pt 2488 4728) ) (connector (text "pct_buff_aclr" (rect 1890 3648 1956 3659)(font "Arial" )) (pt 1888 3664) (pt 2008 3664) ) (connector (pt 1584 3696) (pt 1568 3696) ) (connector (pt 1568 3696) (pt 1568 3712) ) (connector (text "MCLK2RX_pll_d" (rect 1449 3712 1531 3723)(font "Arial" )) (pt 1584 3728) (pt 1432 3728) ) (connector (text "stream_rxen_fx3clk" (rect 1481 3696 1577 3707)(font "Arial" )) (pt 1432 3712) (pt 1568 3712) ) (connector (pt 1568 3712) (pt 1584 3712) ) (connector (text "lmlclk" (rect 1456 3664 1485 3675)(font "Arial" )) (pt 1432 3680) (pt 1584 3680) ) (connector (text "fx3_clk" (rect 1458 3648 1493 3659)(font "Arial" )) (pt 1432 3664) (pt 1584 3664) ) (connector (text "rx_path_sampl_nr[63..0]" (rect 1462 3728 1581 3739)(font "Arial" )) (pt 1432 3744) (pt 1584 3744) (bus) ) (connector (text "MCLK2RX_pll_d" (rect -679 3584 -597 3595)(font "Arial" )) (pt -704 3600) (pt -552 3600) ) (connector (text "MCLK2RX_pll_d" (rect 729 1880 811 1891)(font "Arial" )) (pt 880 1896) (pt 720 1896) ) (connector (text "L,L,L,L,L,L,L,H,H,L" (rect -841 2728 -747 2739)(font "Arial" )) (pt -896 2744) (pt -736 2744) (bus) ) (connector (text "H" (rect -831 2744 -823 2755)(font "Arial" )) (pt -896 2760) (pt -736 2760) ) (connector (text "spi_lms_external_MOSI" (rect -849 2760 -733 2771)(font "Arial" )) (pt -896 2776) (pt -736 2776) ) (connector (text "spi_lms_external_SCLK" (rect -848 2776 -728 2787)(font "Arial" )) (pt -896 2792) (pt -736 2792) ) (connector (text "spi_enables[1]" (rect -842 2792 -771 2803)(font "Arial" )) (pt -736 2808) (pt -896 2808) ) (connector (text "fpga_reset_n" (rect -847 2808 -781 2819)(font "Arial" )) (pt -896 2824) (pt -736 2824) ) (connector (text "fpga_reset_n" (rect -845 2824 -779 2835)(font "Arial" )) (pt -896 2840) (pt -736 2840) ) (connector (text "L,L,L,L,L,L,L,L,BOARD_GPIO_RD[7..0]" (rect -906 2840 -713 2851)(font "Arial" )) (pt -736 2856) (pt -896 2856) (bus) ) (connector (text "periphcfg_sdout" (rect -298 2728 -218 2739)(font "Arial" )) (pt -352 2744) (pt -184 2744) ) (connector (text "BOARD_GPIO_OVRD[15..0]" (rect -307 2776 -166 2787)(font "Arial" )) (pt -352 2792) (pt -184 2792) (bus) ) (connector (text "BOARD_GPIO_DIR[15..0]" (rect -307 2792 -179 2803)(font "Arial" )) (pt -352 2808) (pt -184 2808) (bus) ) (connector (text "BOARD_GPIO_VAL[15..0]" (rect -310 2808 -180 2819)(font "Arial" )) (pt -352 2824) (pt -184 2824) (bus) ) (connector (pt -736 2888) (pt -864 2888) (bus) ) (connector (text "PERIPH_OUTPUT_OVRD_0[15..0]" (rect -319 2824 -146 2835)(font "Arial" )) (pt -352 2840) (pt -184 2840) (bus) ) (connector (text "PERIPH_OUTPUT_VAL_0[15..0]" (rect -319 2840 -157 2851)(font "Arial" )) (pt -352 2856) (pt -184 2856) (bus) ) (connector (text "L,L,L,L,L,L,L,L,L,L,L,L,L,L,L,LM75_OS" (rect -910 2856 -722 2867)(font "Arial" )) (pt -736 2872) (pt -896 2872) (bus) ) (connector (text "fpgapll_locked" (rect 870 1592 941 1603)(font "Arial" )) (pt 840 1608) (pt 960 1608) ) (connector (text "fpga_reset" (rect 730 1592 784 1603)(font "Arial" )) (pt 680 1608) (pt 792 1608) ) (connector (text "tx_path_h[12..0]" (rect 1905 3712 1984 3723)(font "Arial" )) (pt 1888 3728) (pt 2008 3728) (bus) ) (connector (text "tx_path_l[12..0]" (rect 1916 3728 1991 3739)(font "Arial" )) (pt 1888 3744) (pt 2008 3744) (bus) ) (connector (text "tx_outfifo_rdy" (rect 2069 3744 2136 3755)(font "Arial" )) (pt 2064 3760) (pt 2184 3760) ) (connector (pt 2016 3760) (pt 1888 3760) ) (connector (text "lte_synch_dis" (rect 1458 3760 1526 3771)(font "Arial" )) (pt 1584 3776) (pt 1432 3776) ) (connector (text "tx_outfifo_wrreq" (rect 1457 3968 1537 3979)(font "Arial" )) (pt 1584 3984) (pt 1432 3984) ) (connector (text "tx_outfifo_data[31..0]" (rect 1452 3984 1554 3995)(font "Arial" )) (pt 1584 4000) (pt 1432 4000) (bus) ) (connector (text "lte_smpl_width[1..0]" (rect 1457 3952 1554 3963)(font "Arial" )) (pt 1432 3968) (pt 1584 3968) (bus) ) (connector (text "lte_ch_en[1..0]" (rect 1454 3920 1527 3931)(font "Arial" )) (pt 1432 3936) (pt 1584 3936) (bus) ) (connector (text "lte_mimo_en" (rect 1459 3904 1523 3915)(font "Arial" )) (pt 1432 3920) (pt 1584 3920) ) (connector (text "L" (rect 1459 3936 1467 3947)(font "Arial" )) (pt 1584 3952) (pt 1432 3952) ) (connector (text "lml_mode" (rect 1448 3856 1497 3867)(font "Arial" )) (pt 1432 3872) (pt 1584 3872) ) (connector (text "lml_trxiq_pulse" (rect 1434 3872 1509 3883)(font "Arial" )) (pt 1432 3888) (pt 1584 3888) ) (connector (text "lml_ddr_en" (rect 1445 3888 1501 3899)(font "Arial" )) (pt 1432 3904) (pt 1584 3904) ) (connector (text "lmlclk" (rect 952 3776 981 3787)(font "Arial" )) (pt 928 3792) (pt 1080 3792) ) (connector (text "stream_rxen_fx3clk" (rect 961 3792 1057 3803)(font "Arial" )) (pt 928 3808) (pt 1080 3808) ) (connector (text "tx_path_tx_ant_en" (rect 1896 3664 1988 3675)(font "Arial" )) (pt 1888 3680) (pt 2008 3680) ) (connector (pt -880 1944) (pt -720 1944) (bus) ) (connector (text "H" (rect -815 1944 -807 1955)(font "Arial" )) (pt -840 1960) (pt -720 1960) ) (connector (text "fpga_reset_n" (rect -831 2008 -765 2019)(font "Arial" )) (pt -840 2024) (pt -720 2024) ) (connector (text "fpga_reset_n" (rect -829 2024 -763 2035)(font "Arial" )) (pt -840 2040) (pt -720 2040) ) (connector (text "HW_VER[3..0]" (rect -828 2040 -757 2051)(font "Arial" )) (pt -840 2056) (pt -720 2056) (bus) ) (connector (text "BOM_VER[2..0]" (rect -830 2056 -751 2067)(font "Arial" )) (pt -840 2072) (pt -720 2072) (bus) ) (connector (text "spi_lms_external_MOSI" (rect -833 1960 -717 1971)(font "Arial" )) (pt -840 1976) (pt -720 1976) ) (connector (text "spi_lms_external_SCLK" (rect -832 1976 -712 1987)(font "Arial" )) (pt -840 1992) (pt -720 1992) ) (connector (text "spi_enables[1]" (rect -834 1992 -763 2003)(font "Arial" )) (pt -840 2008) (pt -720 2008) ) (connector (text "PWR_SRC" (rect -815 2072 -759 2083)(font "Arial" )) (pt -720 2088) (pt -840 2088) ) (connector (text "internal_spi_miso" (rect -425 1928 -338 1939)(font "Arial" )) (pt -440 1944) (pt -272 1944) ) (connector (text "phase_reg_sel[15..0]" (rect -421 1976 -318 1987)(font "Arial" )) (pt -440 1992) (pt -272 1992) (bus) ) (connector (text "clk_ind[4..0]" (rect -418 1992 -359 2003)(font "Arial" )) (pt -440 2008) (pt -272 2008) (bus) ) (connector (text "load_phase_reg" (rect -415 2024 -335 2035)(font "Arial" )) (pt -440 2040) (pt -272 2040) ) (connector (text "drc_clk_en[15..0]" (rect -411 2040 -328 2051)(font "Arial" )) (pt -440 2056) (pt -272 2056) (bus) ) (connector (text "lte_ch_en[15..0]" (rect -410 2056 -331 2067)(font "Arial" )) (pt -440 2072) (pt -272 2072) (bus) ) (connector (text "lte_smpl_width[1..0]" (rect -407 2072 -310 2083)(font "Arial" )) (pt -440 2088) (pt -272 2088) (bus) ) (connector (text "lte_mimo_en" (rect -405 2136 -341 2147)(font "Arial" )) (pt -440 2152) (pt -272 2152) ) (connector (text "lte_synch_dis" (rect -406 2152 -338 2163)(font "Arial" )) (pt -440 2168) (pt -272 2168) ) (connector (text "lml_mode" (rect -408 2088 -359 2099)(font "Arial" )) (pt -440 2104) (pt -272 2104) ) (connector (text "lml_ddr_en" (rect -411 2104 -355 2115)(font "Arial" )) (pt -440 2120) (pt -272 2120) ) (connector (text "lml_trxiq_pulse" (rect -422 2120 -347 2131)(font "Arial" )) (pt -440 2136) (pt -272 2136) ) (connector (text "lte_clr_smpl_nr" (rect -406 2184 -329 2195)(font "Arial" )) (pt -440 2200) (pt -272 2200) ) (connector (text "lte_txpct_loss_clr" (rect -402 2200 -315 2211)(font "Arial" )) (pt -440 2216) (pt -272 2216) ) (connector (text "stream_rxen" (rect -399 2216 -337 2227)(font "Arial" )) (pt -440 2232) (pt -272 2232) ) (connector (text "stream_txen" (rect -420 2232 -358 2243)(font "Arial" )) (pt -440 2248) (pt -272 2248) ) (connector (text "tx_ptrn_en" (rect -401 2264 -347 2275)(font "Arial" )) (pt -440 2280) (pt -272 2280) ) (connector (text "rx_ptrn_en" (rect -404 2248 -350 2259)(font "Arial" )) (pt -440 2264) (pt -272 2264) ) (connector (text "wfm_ch_en[15..0]" (rect -409 2296 -322 2307)(font "Arial" )) (pt -440 2312) (pt -272 2312) (bus) ) (connector (text "wfm_play" (rect -396 2312 -348 2323)(font "Arial" )) (pt -440 2328) (pt -272 2328) ) (connector (text "wfm_load" (rect -386 2328 -338 2339)(font "Arial" )) (pt -440 2344) (pt -272 2344) ) (connector (text "wfm_smpl_width[1..0]" (rect -399 2344 -293 2355)(font "Arial" )) (pt -440 2360) (pt -272 2360) (bus) ) (connector (text "SPI_SS[15..0]" (rect -386 2360 -317 2371)(font "Arial" )) (pt -440 2376) (pt -272 2376) (bus) ) (connector (text "ctr_LMS_SPI_CS" (rect -403 2376 -315 2387)(font "Arial" )) (pt -440 2392) (pt -272 2392) ) (connector (text "lms1_reset" (rect -404 2392 -349 2403)(font "Arial" )) (pt -440 2408) (pt -344 2408) ) (connector (pt -440 2424) (pt -272 2424) ) (connector (pt -440 2440) (pt -272 2440) ) (connector (pt -440 2456) (pt -272 2456) ) (connector (pt -440 2472) (pt -272 2472) ) (connector (pt -440 2488) (pt -272 2488) ) (connector (text "GPIO[6..0]" (rect -397 2488 -346 2499)(font "Arial" )) (pt -440 2504) (pt -272 2504) (bus) ) (connector (text "FPGA_LED1_CTRL[2..0]" (rect -404 2504 -281 2515)(font "Arial" )) (pt -440 2520) (pt -272 2520) (bus) ) (connector (text "FPGA_LED2_CTRL[2..0]" (rect -404 2520 -281 2531)(font "Arial" )) (pt -440 2536) (pt -272 2536) (bus) ) (connector (text "FX3_LED_CTRL[2..0]" (rect -414 2536 -307 2547)(font "Arial" )) (pt -440 2552) (pt -272 2552) (bus) ) (connector (text "FCLK_ENA[1..0]" (rect -415 2552 -334 2563)(font "Arial" )) (pt -440 2568) (pt -272 2568) (bus) ) (connector (text "tx_cnt_en" (rect -419 2280 -370 2291)(font "Arial" )) (pt -440 2296) (pt -272 2296) ) (connector (text "sync_mode" (rect -406 2168 -349 2179)(font "Arial" )) (pt -440 2184) (pt -272 2184) ) (connector (text "sync_mode" (rect 1466 3744 1523 3755)(font "Arial" )) (pt 1584 3760) (pt 1432 3760) ) (connector (text "sync_size[15..0]" (rect -400 2584 -321 2595)(font "Arial" )) (pt -440 2600) (pt -272 2600) (bus) ) (connector (text "txant_pre[15..0]" (rect -400 2600 -324 2611)(font "Arial" )) (pt -440 2616) (pt -272 2616) (bus) ) (connector (text "txant_post[15..0]" (rect -400 2616 -319 2627)(font "Arial" )) (pt -440 2632) (pt -272 2632) (bus) ) (connector (text "sync_size[15..0]" (rect 1456 3792 1535 3803)(font "Arial" )) (pt 1432 3808) (pt 1584 3808) (bus) ) (connector (text "txant_pre[15..0]" (rect 1456 3824 1532 3835)(font "Arial" )) (pt 1432 3840) (pt 1584 3840) (bus) ) (connector (text "txant_post[15..0]" (rect 1456 3840 1537 3851)(font "Arial" )) (pt 1432 3856) (pt 1584 3856) (bus) ) (connector (text "pct_sync_pulse" (rect 1310 3776 1387 3787)(font "Arial" )) (pt 1584 3792) (pt 1272 3792) ) (connector (text "pulsesync_period[31..0]" (rect -400 2568 -284 2579)(font "Arial" )) (pt -440 2584) (pt -272 2584) (bus) ) (connector (text "pulsesync_period[31..0]" (rect 944 3808 1060 3819)(font "Arial" )) (pt 928 3824) (pt 1080 3824) (bus) ) (connector (text "spi_enables[0],spi_lms_external_SCLK,spi_lms_external_MOSI" (rect -2077 3528 -1766 3539)(font "Arial" )) (pt -1808 3544) (pt -2016 3544) (bus) ) (connector (text "mux_fpga_spi0_lms_ss,mux_fpga_spi0_sclk,mux_fpga_spi0_mosi" (rect -1681 3544 -1355 3555)(font "Arial" )) (pt -1696 3560) (pt -1496 3560) (bus) ) (connector (text "H,L,H" (rect -1928 3560 -1900 3571)(font "Arial" )) (pt -1808 3576) (pt -2016 3576) (bus) ) (connector (pt -1752 3600) (pt -1752 3616) ) (connector (text "L" (rect -1963 3600 -1955 3611)(font "Arial" )) (pt -1752 3616) (pt -2016 3616) ) (connector (text "spi_lms_external_MOSI" (rect -1657 3208 -1541 3219)(font "Arial" )) (pt -1552 3224) (pt -1672 3224) ) (connector (text "spi_lms_external_SCLK" (rect -1656 3224 -1536 3235)(font "Arial" )) (pt -1552 3240) (pt -1672 3240) ) (connector (text "mux_fpga_spi0_mosi" (rect -1513 3208 -1407 3219)(font "Arial" )) (pt -1424 3224) (pt -1528 3224) ) (connector (text "mux_fpga_spi0_sclk" (rect -1514 3224 -1413 3235)(font "Arial" )) (pt -1424 3240) (pt -1528 3240) ) (connector (text "mux_fpga_spi0_lms_ss" (rect -1525 3240 -1409 3251)(font "Arial" )) (pt -1424 3256) (pt -1528 3256) ) (connector (text "L,L,L,L,pct_buff_aclr,mclk1tx_locked,rxpll_locked,tx_path_tx_ant_en" (rect -384 1584 -51 1595)(font "Arial" )) (pt -392 1600) (pt -88 1600) (bus) ) (connector (text "lte_txpct_loss_clr" (rect 1446 3808 1533 3819)(font "Arial" )) (pt 1432 3824) (pt 1584 3824) ) (connector (text "MCLK2RX" (rect 948 968 1003 979)(font "Arial" )) (pt 936 984) (pt 1048 984) ) (connector (text "pllrst_start[1]" (rect 942 984 1005 995)(font "Arial" )) (pt 936 1000) (pt 1048 1000) ) (connector (text "L" (rect 963 1016 971 1027)(font "Arial" )) (pt 1048 1032) (pt 936 1032) ) (connector (text "drc_clk_en[1],drc_clk_en[1]" (rect 952 1048 1086 1059)(font "Arial" )) (pt 1048 1064) (pt 936 1064) (bus) ) (connector (text "LMK_CLK" (rect 946 1064 999 1075)(font "Arial" )) (pt 936 1080) (pt 1048 1080) ) (connector (text "pllrst_start[1]" (rect 949 1080 1012 1091)(font "Arial" )) (pt 936 1096) (pt 1048 1096) ) (connector (text "pllcfg_start[1]" (rect 936 1096 1002 1107)(font "Arial" )) (pt 936 1112) (pt 1048 1112) ) (connector (text "pllcfg_data[143..0]" (rect 944 1112 1034 1123)(font "Arial" )) (pt 936 1128) (pt 1048 1128) (bus) ) (connector (text "H,H" (rect 938 1032 958 1043)(font "Arial" )) (pt 1048 1048) (pt 936 1048) (bus) ) (connector (text "pllcfg_phcfg_mode" (rect 955 1128 1049 1139)(font "Arial" )) (pt 1048 1144) (pt 936 1144) ) (connector (text "phcfg_start[1]" (rect 947 1160 1014 1171)(font "Arial" )) (pt 1048 1176) (pt 936 1176) ) (connector (text "fpga_reset_n" (rect 944 1000 1010 1011)(font "Arial" )) (pt 1048 1016) (pt 936 1016) ) (connector (text "pllrst_start[1]" (rect 782 1144 845 1155)(font "Arial" )) (pt 776 1160) (pt 888 1160) ) (connector (pt 936 1160) (pt 1048 1160) ) (connector (text "phcfg_updn" (rect 945 1192 1004 1203)(font "Arial" )) (pt 1048 1208) (pt 936 1208) ) (connector (text "cnt_ind[2..0]" (rect 947 1208 1007 1219)(font "Arial" )) (pt 1048 1224) (pt 936 1224) (bus) ) (connector (text "cnt_phase[9..0]" (rect 946 1224 1021 1235)(font "Arial" )) (pt 936 1240) (pt 1048 1240) (bus) ) (connector (text "pllcfg_phcfg_tst" (rect 943 1176 1020 1187)(font "Arial" )) (pt 936 1192) (pt 1048 1192) ) (connector (text "rx_path_smpl_cmp_done" (rect 938 1256 1063 1267)(font "Arial" )) (pt 936 1272) (pt 1048 1272) ) (connector (text "rx_path_smpl_cmp_err" (rect 938 1272 1053 1283)(font "Arial" )) (pt 936 1288) (pt 1048 1288) ) (connector (text "pllcfg_auto_phcfg_step[9..0]" (rect 915 1240 1053 1251)(font "Arial" )) (pt 936 1256) (pt 1048 1256) (bus) ) (connector (text "MCLK2RX_pll_d" (rect 1313 984 1395 995)(font "Arial" )) (pt 1296 1000) (pt 1416 1000) ) (connector (text "rxpll_locked" (rect 1312 1000 1372 1011)(font "Arial" )) (pt 1296 1016) (pt 1416 1016) ) (connector (text "rx_reconfig_status" (rect 1314 1016 1406 1027)(font "Arial" )) (pt 1296 1032) (pt 1416 1032) ) (connector (text "rxpll_dynps_done" (rect 1321 1048 1409 1059)(font "Arial" )) (pt 1296 1064) (pt 1416 1064) ) (connector (text "rxpll_dynps_status" (rect 1321 1064 1414 1075)(font "Arial" )) (pt 1296 1080) (pt 1416 1080) ) (connector (text "rxpll_smpcmp_en" (rect 1317 1080 1405 1091)(font "Arial" )) (pt 1296 1096) (pt 1416 1096) ) (connector (text "rxpllcfg_busy" (rect 1331 1096 1397 1107)(font "Arial" )) (pt 1296 1112) (pt 1416 1112) ) (connector (text "MCLK2RX_pll" (rect 1312 968 1382 979)(font "Arial" )) (pt 1296 984) (pt 1416 984) ) (connector (text "MCLK1TX" (rect 2191 1000 2244 1011)(font "Arial" )) (pt 2184 1016) (pt 2296 1016) ) (connector (text "pllrst_start[0]" (rect 2190 1016 2253 1027)(font "Arial" )) (pt 2184 1032) (pt 2296 1032) ) (connector (text "L" (rect 2214 1048 2222 1059)(font "Arial" )) (pt 2296 1064) (pt 2184 1064) ) (connector (text "H,H" (rect 2186 1064 2206 1075)(font "Arial" )) (pt 2296 1080) (pt 2184 1080) (bus) ) (connector (text "drc_clk_en[0],drc_clk_en[0]" (rect 2201 1080 2335 1091)(font "Arial" )) (pt 2296 1096) (pt 2184 1096) (bus) ) (connector (text "LMK_CLK" (rect 2194 1096 2247 1107)(font "Arial" )) (pt 2184 1112) (pt 2296 1112) ) (connector (text "pllrst_start[0]" (rect 2197 1112 2260 1123)(font "Arial" )) (pt 2184 1128) (pt 2296 1128) ) (connector (text "pllcfg_data[143..0]" (rect 2192 1144 2282 1155)(font "Arial" )) (pt 2184 1160) (pt 2296 1160) (bus) ) (connector (text "pllcfg_start[0]" (rect 2184 1128 2250 1139)(font "Arial" )) (pt 2184 1144) (pt 2296 1144) ) (connector (text "fpga_reset_n" (rect 2192 1032 2258 1043)(font "Arial" )) (pt 2296 1048) (pt 2184 1048) ) (connector (text "phcfg_start[0]" (rect 2195 1192 2262 1203)(font "Arial" )) (pt 2184 1208) (pt 2296 1208) ) (connector (text "pllcfg_phcfg_mode" (rect 2195 1176 2289 1187)(font "Arial" )) (pt 2184 1192) (pt 2296 1192) ) (connector (pt 2184 1176) (pt 2296 1176) ) (connector (text "pllrst_start[0]" (rect 2030 1160 2093 1171)(font "Arial" )) (pt 2024 1176) (pt 2136 1176) ) (connector (text "cnt_phase[9..0]" (rect 2194 1256 2269 1267)(font "Arial" )) (pt 2184 1272) (pt 2296 1272) (bus) ) (connector (text "phcfg_updn" (rect 2193 1224 2252 1235)(font "Arial" )) (pt 2184 1240) (pt 2296 1240) ) (connector (text "cnt_ind[2..0]" (rect 2193 1240 2253 1251)(font "Arial" )) (pt 2184 1256) (pt 2296 1256) (bus) ) (connector (text "pllcfg_phcfg_tst" (rect 2191 1208 2268 1219)(font "Arial" )) (pt 2184 1224) (pt 2296 1224) ) (connector (text "rx_path_smpl_cmp_done" (rect 2186 1288 2311 1299)(font "Arial" )) (pt 2184 1304) (pt 2296 1304) ) (connector (text "rx_path_smpl_cmp_err" (rect 2186 1304 2301 1315)(font "Arial" )) (pt 2184 1320) (pt 2296 1320) ) (connector (text "pllcfg_auto_phcfg_step[9..0]" (rect 2179 1272 2317 1283)(font "Arial" )) (pt 2296 1288) (pt 2184 1288) (bus) ) (connector (text "lmlclk" (rect 2560 1016 2589 1027)(font "Arial" )) (pt 2544 1032) (pt 2664 1032) ) (connector (text "mclk1tx_locked" (rect 2561 1032 2637 1043)(font "Arial" )) (pt 2544 1048) (pt 2664 1048) ) (connector (text "tx_reconfig_status" (rect 2561 1048 2651 1059)(font "Arial" )) (pt 2544 1064) (pt 2664 1064) ) (connector (text "txpll_dynps_done" (rect 2569 1080 2656 1091)(font "Arial" )) (pt 2544 1096) (pt 2664 1096) ) (connector (text "txpll_dynps_status" (rect 2569 1096 2661 1107)(font "Arial" )) (pt 2544 1112) (pt 2664 1112) ) (connector (text "txpll_smpcmp_en" (rect 2565 1112 2652 1123)(font "Arial" )) (pt 2544 1128) (pt 2664 1128) ) (connector (text "txpllcfg_busy" (rect 2563 1128 2627 1139)(font "Arial" )) (pt 2544 1144) (pt 2664 1144) ) (connector (text "fclk1" (rect 2587 1000 2610 1011)(font "Arial" )) (pt 2544 1016) (pt 2664 1016) ) (connector (text "rxpllcfg_busy" (rect 1531 1616 1597 1627)(font "Arial" )) (pt 1632 1632) (pt 1488 1632) ) (connector (text "txpllcfg_busy" (rect 1531 1632 1595 1643)(font "Arial" )) (pt 1632 1648) (pt 1488 1648) ) (connector (text "pllcfg_busy" (rect 1716 1624 1772 1635)(font "Arial" )) (pt 1696 1640) (pt 1776 1640) ) (connector (text "pllcfg_done" (rect 1861 1624 1918 1635)(font "Arial" )) (pt 1824 1640) (pt 1928 1640) ) (connector (text "fpga_reset_n" (rect 1466 1400 1532 1411)(font "Arial" )) (pt 1456 1416) (pt 1632 1416) ) (connector (text "fpga_reset_n" (rect 1466 1416 1532 1427)(font "Arial" )) (pt 1456 1432) (pt 1632 1432) ) (connector (text "rxpll_locked,mclk1tx_locked" (rect 1483 1464 1621 1475)(font "Arial" )) (pt 1632 1480) (pt 1456 1480) (bus) ) (connector (text "L,pllcfg_busy" (rect 1525 1432 1591 1443)(font "Arial" )) (pt 1632 1448) (pt 1456 1448) (bus) ) (connector (text "H,pllcfg_done" (rect 1486 1448 1554 1459)(font "Arial" )) (pt 1632 1464) (pt 1456 1464) (bus) ) (connector (text "spi_enables[1]" (rect 1502 1336 1573 1347)(font "Arial" )) (pt 1456 1352) (pt 1632 1352) ) (connector (text "spi_lms_external_SCLK" (rect 1496 1320 1616 1331)(font "Arial" )) (pt 1456 1336) (pt 1632 1336) ) (connector (text "spi_lms_external_MOSI" (rect 1503 1304 1619 1315)(font "Arial" )) (pt 1456 1320) (pt 1632 1320) ) (connector (text "rxpll_dynps_done,txpll_dynps_done" (rect 1480 1480 1657 1491)(font "Arial" )) (pt 1632 1496) (pt 1456 1496) (bus) ) (connector (text "rxpll_dynps_status,txpll_dynps_status" (rect 1476 1496 1662 1507)(font "Arial" )) (pt 1632 1512) (pt 1456 1512) (bus) ) (connector (text "pllcfg_sdoutA" (rect 1955 1304 2023 1315)(font "Arial" )) (pt 1936 1320) (pt 2056 1320) ) (connector (text "pllcfg_oenA" (rect 1955 1320 2015 1331)(font "Arial" )) (pt 1936 1336) (pt 2056 1336) ) (connector (text "pllcfg_phcfg_mode" (rect 1955 1368 2049 1379)(font "Arial" )) (pt 1936 1384) (pt 2056 1384) ) (connector (text "phcfg_start[1..0]" (rect 1956 1400 2035 1411)(font "Arial" )) (pt 1936 1416) (pt 2056 1416) (bus) ) (connector (text "pllcfg_start[1..0]" (rect 1953 1416 2030 1427)(font "Arial" )) (pt 1936 1432) (pt 2056 1432) (bus) ) (connector (text "pllrst_start[1..0]" (rect 1952 1432 2027 1443)(font "Arial" )) (pt 1936 1448) (pt 2056 1448) (bus) ) (connector (text "phcfg_updn" (rect 1950 1448 2009 1459)(font "Arial" )) (pt 1936 1464) (pt 2056 1464) ) (connector (text "cnt_ind[4..0]" (rect 1950 1464 2010 1475)(font "Arial" )) (pt 1936 1480) (pt 2056 1480) (bus) ) (connector (text "cnt_phase[15..0]" (rect 1950 1480 2031 1491)(font "Arial" )) (pt 1936 1496) (pt 2056 1496) (bus) ) (connector (text "pllcfg_data[143..0]" (rect 1951 1496 2041 1507)(font "Arial" )) (pt 1936 1512) (pt 2056 1512) (bus) ) (connector (text "pllcfg_auto_phcfg_smpls[15..0]" (rect 1958 1512 2110 1523)(font "Arial" )) (pt 1936 1528) (pt 2056 1528) (bus) ) (connector (text "pllcfg_phcfg_tst" (rect 1951 1384 2028 1395)(font "Arial" )) (pt 1936 1400) (pt 2056 1400) ) (connector (text "pllcfg_auto_phcfg_step[15..0]" (rect 1963 1528 2106 1539)(font "Arial" )) (pt 2056 1544) (pt 1936 1544) (bus) ) (connector (text "rxpll_smpcmp_en" (rect 1237 2648 1325 2659)(font "Arial" )) (pt 1232 2664) (pt 1368 2664) ) (connector (text "txpll_smpcmp_en" (rect 1237 2664 1324 2675)(font "Arial" )) (pt 1368 2680) (pt 1232 2680) ) (connector (pt 1488 2544) (pt 1496 2544) (bus) ) (connector (pt 1488 2560) (pt 1504 2560) ) (connector (pt 1432 2672) (pt 1440 2672) ) (connector (text "rx_pct_data[63..0]" (rect 2059 2488 2147 2499)(font "Arial" )) (pt 2040 2504) (pt 2192 2504) (bus) ) (connector (text "rx_path_sampl_nr[63..0]" (rect 2062 2504 2181 2515)(font "Arial" )) (pt 2040 2520) (pt 2192 2520) (bus) ) (connector (text "rxpct_outfifowr" (rect 2054 2472 2128 2483)(font "Arial" )) (pt 2040 2488) (pt 2192 2488) ) (connector (text "rx_path_smpl_cmp_done" (rect 2058 2520 2183 2531)(font "Arial" )) (pt 2040 2536) (pt 2192 2536) ) (connector (text "rx_path_smpl_cmp_err" (rect 2058 2536 2173 2547)(font "Arial" )) (pt 2040 2552) (pt 2192 2552) ) (connector (pt 1496 2544) (pt 1496 2632) (bus) ) (connector (pt 1504 2560) (pt 1504 2648) ) (connector (pt 1448 2624) (pt 1448 2712) (bus) ) (connector (pt 1440 2672) (pt 1440 2760) ) (connector (text "MCLK2RX_pll_d" (rect 1553 2456 1635 2467)(font "Arial" )) (pt 1536 2472) (pt 1680 2472) ) (connector (text "stream_rxen_fx3clk" (rect 1577 2472 1673 2483)(font "Arial" )) (pt 1536 2488) (pt 1680 2488) ) (connector (text "rx_ptrn_en" (rect 1548 2488 1602 2499)(font "Arial" )) (pt 1536 2504) (pt 1680 2504) ) (connector (text "lte_smpl_width[1..0]" (rect 1545 2504 1642 2515)(font "Arial" )) (pt 1536 2520) (pt 1680 2520) (bus) ) (connector (text "lml_mode" (rect 1544 2520 1593 2531)(font "Arial" )) (pt 1536 2536) (pt 1680 2536) ) (connector (text "lml_trxiq_pulse" (rect 1530 2536 1605 2547)(font "Arial" )) (pt 1536 2552) (pt 1680 2552) ) (connector (text "lml_ddr_en" (rect 1565 2552 1621 2563)(font "Arial" )) (pt 1536 2568) (pt 1680 2568) ) (connector (text "lte_mimo_en" (rect 1547 2568 1611 2579)(font "Arial" )) (pt 1536 2584) (pt 1680 2584) ) (connector (text "lte_ch_en[1..0]" (rect 1550 2584 1623 2595)(font "Arial" )) (pt 1536 2600) (pt 1680 2600) (bus) ) (connector (text "L" (rect 1543 2600 1551 2611)(font "Arial" )) (pt 1536 2616) (pt 1680 2616) ) (connector (pt 1496 2632) (pt 1680 2632) (bus) ) (connector (pt 1504 2648) (pt 1680 2648) ) (connector (text "fx3_outfifo_wrusedw[fx3_outfifo_wrsize-1..0]" (rect 1504 2648 1721 2659)(font "Arial" )) (pt 1536 2664) (pt 1680 2664) (bus) ) (connector (text "L" (rect 1557 2680 1565 2691)(font "Arial" )) (pt 1536 2696) (pt 1680 2696) ) (connector (pt 1448 2712) (pt 1680 2712) (bus) ) (connector (text "pct_buff_aclr" (rect 1546 2712 1612 2723)(font "Arial" )) (pt 1536 2728) (pt 1680 2728) ) (connector (text "lte_txpct_loss_clr" (rect 1542 2728 1629 2739)(font "Arial" )) (pt 1536 2744) (pt 1680 2744) ) (connector (pt 1440 2760) (pt 1680 2760) ) (connector (text "pllcfg_auto_phcfg_smpls[15..0]" (rect 1542 2760 1694 2771)(font "Arial" )) (pt 1536 2776) (pt 1680 2776) (bus) ) (connector (pt 2040 2384) (pt 2040 2472) ) (connector (pt 1280 2600) (pt 1312 2600) ) (connector (pt 1280 2600) (pt 1280 2592) ) (connector (text "lte_clr_smpl_nr" (rect 1202 2600 1279 2611)(font "Arial" )) (pt 1280 2592) (pt 1184 2592) ) (connector (pt 1480 2680) (pt 1680 2680) ) (connector (pt 1480 2608) (pt 1480 2680) ) (connector (pt 1376 2608) (pt 1480 2608) ) (connector (text "FPGA_GPIO[0]" (rect 1122 2600 1196 2613)(font "Intel Clear" )) (pt 1312 2616) (pt 1040 2616) ) (junction (pt -256 4616)) (junction (pt 248 4624)) (junction (pt -200 952)) (junction (pt 984 5280)) (junction (pt 1568 3712)) (text "Lime Microsystems" (rect 2720 6456 2882 6475)(font "Arial" (font_size 12))) (text "PLL`s and pll control" (rect 712 792 962 817)(font "Arial" (font_size 16)(bold))) (text "Board SPI" (rect -1048 1752 -942 1773)(font "Arial" (font_size 14)(bold))) (text "FX3 slaveFIFo interface" (rect -1048 2984 -801 3005)(font "Arial" (font_size 14)(bold))) (text "LMS GPIO" (rect -1040 4216 -917 4241)(font "Arial" (font_size 16)(bold))) (text "DESCRIPTION" (rect 2592 6184 2721 6203)(font "Arial" (font_size 12)(bold))) (text "BOARD" (rect 2592 6392 2661 6411)(font "Arial" (font_size 12)(bold))) (text "DATE" (rect 2592 6424 2643 6443)(font "Arial" (font_size 12)(bold))) (text "AUTHOR" (rect 2592 6456 2674 6475)(font "Arial" (font_size 12)(bold))) (text "LML TX interface synchronization" (rect 680 2984 1083 3009)(font "Arial" (font_size 16)(bold))) (text "General I/O, clock pins, ect" (rect -1056 520 -773 541)(font "Arial" (font_size 14)(bold))) (text "fx3_outfifo_wrsize=ceil(log2(desired fifo word count in wr side))+1" (rect -624 3120 -260 3133)(font "Arial" (font_size 8))) (text "fx3_outfifo_rdsize=ceil(log2(desired fifo word count in wr side*fx3_outfifo_wrwidth/fx3_bus_width))+1" (rect -624 3152 -65 3165)(font "Arial" (font_size 8))) (text "Stream out buffer" (rect 672 1744 858 1765)(font "Arial" (font_size 14)(bold))) (text "For v1.1 board compatibility. " (rect 96 2464 257 2477)(font "Arial" (font_size 8))) (text "v1.1 board has GND connected to J3, to avoid shorting output directly to ground " (rect 96 2480 544 2493)(font "Arial" (font_size 8))) (text "Open-drain output with weak pull up implemented" (rect 96 2504 373 2517)(font "Arial" (font_size 8))) (text "WFM player" (rect 688 4216 831 4241)(font "Arial" (font_size 16)(bold))) (text "FPGA registers" (rect -1040 5088 -856 5113)(font "Arial" (font_size 16)(bold))) (text "DDR2_2 memory test logic" (rect 696 5088 1016 5113)(font "Arial" (font_size 16)(bold))) (text "fx3_clk" (rect -784 840 -742 853)(font "Arial" (font_size 8))) (text "LimeSDR-USB" (rect 2720 6392 2846 6411)(font "Arial" (font_size 12))) (text "2016-09-27" (rect 2720 6424 2817 6443)(font "Arial" (font_size 12))) (text "NIOS CPU" (rect -2792 2984 -2685 3005)(font "Arial" (font_size 14)(bold))) (rectangle (rect 664 1736 3104 2928)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 1736 624 2928)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 504 624 1696)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 2968 624 4160)(color 0 85 0)(line_width 2)) (rectangle (rect 664 2968 3104 4160)(color 0 85 0)(line_width 2)) (rectangle (rect 664 504 3104 1696)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 4200 624 5024)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6176 3320 6512)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6480 3320 6512)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6448 3320 6480)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6416 3320 6448)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6384 3320 6416)(color 0 85 0)(line_width 2)) (rectangle (rect 2584 6176 2712 6512)(color 0 85 0)(line_width 2)) (rectangle (rect -2984 328 3328 6528)(color 0 0 0)(line_width 3)) (rectangle (rect -1072 504 624 552)(color 0 85 0)(line_width 2)) (rectangle (rect 664 504 3104 552)(color 0 85 0)(line_width 2)) (rectangle (rect 664 1736 3104 1784)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 1736 624 1784)(color 0 85 0)(line_width 2)) (rectangle (rect 664 2968 3104 3016)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 2968 624 3016)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 4200 624 4248)(color 0 85 0)(line_width 2)) (rectangle (rect 664 4200 3104 5024)(color 0 85 0)(line_width 2)) (rectangle (rect 664 4200 3104 4248)(color 0 85 0)(line_width 2)) (rectangle (rect 88 2408 560 2528)) (rectangle (rect -1072 5072 624 5896)(color 0 85 0)(line_width 2)) (rectangle (rect -1072 5072 624 5120)(color 0 85 0)(line_width 2)) (rectangle (rect 664 5072 3104 5120)(color 0 85 0)(line_width 2)) (rectangle (rect 664 5072 3104 5896)(color 0 85 0)(line_width 2)) (rectangle (rect -2808 2968 -1112 4160)(color 0 85 0)(line_width 2)) (rectangle (rect -2808 2968 -1112 3016)(color 0 85 0)(line_width 2))